Gold码发生器的设计与仿真

2014-11-19 18:57魏瑞
电脑知识与技术 2014年30期

魏瑞

摘要:Gold(戈尔德)码是伪随机码的一种,伪随机码在扩展频谱通信系统中起着十分关键的作用,伪随机序列发生器是扩频通信系统中重要的组成部分。本设计主要介绍m序列和Gold序列的生成原理,提出了采用EDA实现Gold序列的一般方法,本方法通过模块化m序列发生器,用原理图的方式设计了一个码长为25-1=31的Gold序列,通过QuartuII编译仿真并成功下载测试。

关键词:Gold码; m序列; 伪随机码

中图分类号:TP313 文献标识码:A 文章编号:1009-3044(2014)30-7039-02

扩频通信因其具有保密性好、截获概率低、抗干扰性能强以及多址复用等优点,目前已经在现代军事通信、卫星通信、移动通信以及指挥控制通信中得到了广泛应用。Gold码是扩频通信中应用较多的一种扩频码。该文重点研究了基于EDA的Gold码发生器的设计与仿真。

1 Gold码

Gold码是R·Gold为了解决m序列个数不多且m序列之间的互相关函数值不理想而提出的提出了一种基于一对周期和速率均相同的m序列优选对模2加后得到的码序列。他的特点是随着级数n的增加,Gold码序列的数量远超过同级数的m序列的数量,且Gold码序列具有良好的自相关特性和互相关特性,因此在扩频通信得到了广泛的应用。

2 Gold码的产生原理及设计

1) Gold码的产生原理

Gold序列具有良好的自、互相关特性,且地址数远远大于m序列地址数。如有两个m序列,它们的互相关函数的绝对值有界,且满足以下条件:

[R(τ)=2n+12+1,2n+22+1,][][n为奇数n为偶数,n不是4的倍数] (1)

我们称这一对m序列为优选对。每改变两个m序列相对位移就可得到一个新的Gold序列,当相对位移2n-1位时,就可得到一簇2n-1个Gold序列。再加上两个m序列,共有2n+1个Gold序列码。

通常我们需要的Gold序列都是平衡Gold序列,为了得到平衡Gold码,必需使两个m序列发生器中的参考序列处在特征相位而移位序列处在相对相位上,这样由两个m序列模2加得到的Gold码才是平衡Gold码。

① 特征相位:当序列处于特称相位时,序列每隔一位抽样后得到的序列与原序列完全一样,这是序列处在特征相位的特征。

设参考序列为{a}的特征多项式为f(x),即本源多项式g(x)为生成函数,则:g(x)=d[xf(x)]/dx 。当r为奇数g(x)=f(x)+ d[xf(x)]/dx,当r为偶数。序列多项式G(x)=g(x)/f(x),由此序列多项式得到的序列的前r位,即特征相位。

② 相对相位:设移位序列为{b}, {b}的第一位为0即处在相对相位。

2) Gold发生器的设计

根据设计原理,采用VHDL语言首先设计出第一个m序列发生器,然后将其模块化,作为底层文件。其次,根据计算,找出第一个m序列的优先对,然后编写相关程序,产生第二个m序列。如果要得到一簇需要注意的是,第二个m序列的设计必须要实现在时钟信号驱动下的不断移位。再次,计算特征相位,使两个m序列发生器中的参考序列处在特征相位而移位序列处在相对相位上并看一下我们的时钟信号频率,为了后续下载测试的方便,采用的时钟信号必须使得结果显示时,人的肉眼可以辨别变化规律。最后,采用原理图来设计,将前三步产生的底层模块进行调用,我们可以得到Gold发生器电路图如图1所示。

Gold发生器电路图涉及三个模块的设计,两个m序列模块,一个分频模块,这三个模块均用VHDL语言编程实现,编程完了进行仿真,然后将其模块化,生成电路模块符号,已备调用,三个模块均设计完成之后,采用原理图的形式按照图1进行连接就完成Gold码电路的整体设计。顶层电路设计完成之后,我们首先进行功能仿真测试,在QuartusII中打开工程,全程编译,建立波形文件,设置波形信息,然后生产网表,最后按波形仿真按钮进行波形仿真。

3 Gold发生器的仿真

顶层电路设计完成之后,我们首先进行功能仿真测试,在QuartusII中打开工程,全程编译,建立波形文件,然后生产网表,最后进行波形仿真。在本次的实际过程中,采用了三个输入,三个输出。在建立波形文件时,load置位高电平有效,仿真波形如图2所示。

4 结束语

本次设计采用了模块化设计,用VHDL编写程序,生成m序列作为底层调用模块,在此基础上采用原理图设计出Gold码发生器,最后对整个设计进行仿真和硬件测试达到预期的效果。

参考文献:

[1] 樊昌信,曹丽娜.通信原理.[M] .6版.北京:国防工业出版社,2009.

[2] 李婷,孙娇燕.平衡Gold序列的生成条件[J]大连海事大学学报, 2006(2).

[3] 张志辉,宋花荣m序列与Gold序列比较[J].信息技术,2006(6).

[4] 宋全有,李振伟.平衡Gold序列的软件实现[J].商丘师范学院学报,2006(5).

[5] 曾兴雯,刘乃安. 扩展频谱通信及其多址技术[M] .2版.西安:西安电子科技大学,2009.endprint

摘要:Gold(戈尔德)码是伪随机码的一种,伪随机码在扩展频谱通信系统中起着十分关键的作用,伪随机序列发生器是扩频通信系统中重要的组成部分。本设计主要介绍m序列和Gold序列的生成原理,提出了采用EDA实现Gold序列的一般方法,本方法通过模块化m序列发生器,用原理图的方式设计了一个码长为25-1=31的Gold序列,通过QuartuII编译仿真并成功下载测试。

关键词:Gold码; m序列; 伪随机码

中图分类号:TP313 文献标识码:A 文章编号:1009-3044(2014)30-7039-02

扩频通信因其具有保密性好、截获概率低、抗干扰性能强以及多址复用等优点,目前已经在现代军事通信、卫星通信、移动通信以及指挥控制通信中得到了广泛应用。Gold码是扩频通信中应用较多的一种扩频码。该文重点研究了基于EDA的Gold码发生器的设计与仿真。

1 Gold码

Gold码是R·Gold为了解决m序列个数不多且m序列之间的互相关函数值不理想而提出的提出了一种基于一对周期和速率均相同的m序列优选对模2加后得到的码序列。他的特点是随着级数n的增加,Gold码序列的数量远超过同级数的m序列的数量,且Gold码序列具有良好的自相关特性和互相关特性,因此在扩频通信得到了广泛的应用。

2 Gold码的产生原理及设计

1) Gold码的产生原理

Gold序列具有良好的自、互相关特性,且地址数远远大于m序列地址数。如有两个m序列,它们的互相关函数的绝对值有界,且满足以下条件:

[R(τ)=2n+12+1,2n+22+1,][][n为奇数n为偶数,n不是4的倍数] (1)

我们称这一对m序列为优选对。每改变两个m序列相对位移就可得到一个新的Gold序列,当相对位移2n-1位时,就可得到一簇2n-1个Gold序列。再加上两个m序列,共有2n+1个Gold序列码。

通常我们需要的Gold序列都是平衡Gold序列,为了得到平衡Gold码,必需使两个m序列发生器中的参考序列处在特征相位而移位序列处在相对相位上,这样由两个m序列模2加得到的Gold码才是平衡Gold码。

① 特征相位:当序列处于特称相位时,序列每隔一位抽样后得到的序列与原序列完全一样,这是序列处在特征相位的特征。

设参考序列为{a}的特征多项式为f(x),即本源多项式g(x)为生成函数,则:g(x)=d[xf(x)]/dx 。当r为奇数g(x)=f(x)+ d[xf(x)]/dx,当r为偶数。序列多项式G(x)=g(x)/f(x),由此序列多项式得到的序列的前r位,即特征相位。

② 相对相位:设移位序列为{b}, {b}的第一位为0即处在相对相位。

2) Gold发生器的设计

根据设计原理,采用VHDL语言首先设计出第一个m序列发生器,然后将其模块化,作为底层文件。其次,根据计算,找出第一个m序列的优先对,然后编写相关程序,产生第二个m序列。如果要得到一簇需要注意的是,第二个m序列的设计必须要实现在时钟信号驱动下的不断移位。再次,计算特征相位,使两个m序列发生器中的参考序列处在特征相位而移位序列处在相对相位上并看一下我们的时钟信号频率,为了后续下载测试的方便,采用的时钟信号必须使得结果显示时,人的肉眼可以辨别变化规律。最后,采用原理图来设计,将前三步产生的底层模块进行调用,我们可以得到Gold发生器电路图如图1所示。

Gold发生器电路图涉及三个模块的设计,两个m序列模块,一个分频模块,这三个模块均用VHDL语言编程实现,编程完了进行仿真,然后将其模块化,生成电路模块符号,已备调用,三个模块均设计完成之后,采用原理图的形式按照图1进行连接就完成Gold码电路的整体设计。顶层电路设计完成之后,我们首先进行功能仿真测试,在QuartusII中打开工程,全程编译,建立波形文件,设置波形信息,然后生产网表,最后按波形仿真按钮进行波形仿真。

3 Gold发生器的仿真

顶层电路设计完成之后,我们首先进行功能仿真测试,在QuartusII中打开工程,全程编译,建立波形文件,然后生产网表,最后进行波形仿真。在本次的实际过程中,采用了三个输入,三个输出。在建立波形文件时,load置位高电平有效,仿真波形如图2所示。

4 结束语

本次设计采用了模块化设计,用VHDL编写程序,生成m序列作为底层调用模块,在此基础上采用原理图设计出Gold码发生器,最后对整个设计进行仿真和硬件测试达到预期的效果。

参考文献:

[1] 樊昌信,曹丽娜.通信原理.[M] .6版.北京:国防工业出版社,2009.

[2] 李婷,孙娇燕.平衡Gold序列的生成条件[J]大连海事大学学报, 2006(2).

[3] 张志辉,宋花荣m序列与Gold序列比较[J].信息技术,2006(6).

[4] 宋全有,李振伟.平衡Gold序列的软件实现[J].商丘师范学院学报,2006(5).

[5] 曾兴雯,刘乃安. 扩展频谱通信及其多址技术[M] .2版.西安:西安电子科技大学,2009.endprint

摘要:Gold(戈尔德)码是伪随机码的一种,伪随机码在扩展频谱通信系统中起着十分关键的作用,伪随机序列发生器是扩频通信系统中重要的组成部分。本设计主要介绍m序列和Gold序列的生成原理,提出了采用EDA实现Gold序列的一般方法,本方法通过模块化m序列发生器,用原理图的方式设计了一个码长为25-1=31的Gold序列,通过QuartuII编译仿真并成功下载测试。

关键词:Gold码; m序列; 伪随机码

中图分类号:TP313 文献标识码:A 文章编号:1009-3044(2014)30-7039-02

扩频通信因其具有保密性好、截获概率低、抗干扰性能强以及多址复用等优点,目前已经在现代军事通信、卫星通信、移动通信以及指挥控制通信中得到了广泛应用。Gold码是扩频通信中应用较多的一种扩频码。该文重点研究了基于EDA的Gold码发生器的设计与仿真。

1 Gold码

Gold码是R·Gold为了解决m序列个数不多且m序列之间的互相关函数值不理想而提出的提出了一种基于一对周期和速率均相同的m序列优选对模2加后得到的码序列。他的特点是随着级数n的增加,Gold码序列的数量远超过同级数的m序列的数量,且Gold码序列具有良好的自相关特性和互相关特性,因此在扩频通信得到了广泛的应用。

2 Gold码的产生原理及设计

1) Gold码的产生原理

Gold序列具有良好的自、互相关特性,且地址数远远大于m序列地址数。如有两个m序列,它们的互相关函数的绝对值有界,且满足以下条件:

[R(τ)=2n+12+1,2n+22+1,][][n为奇数n为偶数,n不是4的倍数] (1)

我们称这一对m序列为优选对。每改变两个m序列相对位移就可得到一个新的Gold序列,当相对位移2n-1位时,就可得到一簇2n-1个Gold序列。再加上两个m序列,共有2n+1个Gold序列码。

通常我们需要的Gold序列都是平衡Gold序列,为了得到平衡Gold码,必需使两个m序列发生器中的参考序列处在特征相位而移位序列处在相对相位上,这样由两个m序列模2加得到的Gold码才是平衡Gold码。

① 特征相位:当序列处于特称相位时,序列每隔一位抽样后得到的序列与原序列完全一样,这是序列处在特征相位的特征。

设参考序列为{a}的特征多项式为f(x),即本源多项式g(x)为生成函数,则:g(x)=d[xf(x)]/dx 。当r为奇数g(x)=f(x)+ d[xf(x)]/dx,当r为偶数。序列多项式G(x)=g(x)/f(x),由此序列多项式得到的序列的前r位,即特征相位。

② 相对相位:设移位序列为{b}, {b}的第一位为0即处在相对相位。

2) Gold发生器的设计

根据设计原理,采用VHDL语言首先设计出第一个m序列发生器,然后将其模块化,作为底层文件。其次,根据计算,找出第一个m序列的优先对,然后编写相关程序,产生第二个m序列。如果要得到一簇需要注意的是,第二个m序列的设计必须要实现在时钟信号驱动下的不断移位。再次,计算特征相位,使两个m序列发生器中的参考序列处在特征相位而移位序列处在相对相位上并看一下我们的时钟信号频率,为了后续下载测试的方便,采用的时钟信号必须使得结果显示时,人的肉眼可以辨别变化规律。最后,采用原理图来设计,将前三步产生的底层模块进行调用,我们可以得到Gold发生器电路图如图1所示。

Gold发生器电路图涉及三个模块的设计,两个m序列模块,一个分频模块,这三个模块均用VHDL语言编程实现,编程完了进行仿真,然后将其模块化,生成电路模块符号,已备调用,三个模块均设计完成之后,采用原理图的形式按照图1进行连接就完成Gold码电路的整体设计。顶层电路设计完成之后,我们首先进行功能仿真测试,在QuartusII中打开工程,全程编译,建立波形文件,设置波形信息,然后生产网表,最后按波形仿真按钮进行波形仿真。

3 Gold发生器的仿真

顶层电路设计完成之后,我们首先进行功能仿真测试,在QuartusII中打开工程,全程编译,建立波形文件,然后生产网表,最后进行波形仿真。在本次的实际过程中,采用了三个输入,三个输出。在建立波形文件时,load置位高电平有效,仿真波形如图2所示。

4 结束语

本次设计采用了模块化设计,用VHDL编写程序,生成m序列作为底层调用模块,在此基础上采用原理图设计出Gold码发生器,最后对整个设计进行仿真和硬件测试达到预期的效果。

参考文献:

[1] 樊昌信,曹丽娜.通信原理.[M] .6版.北京:国防工业出版社,2009.

[2] 李婷,孙娇燕.平衡Gold序列的生成条件[J]大连海事大学学报, 2006(2).

[3] 张志辉,宋花荣m序列与Gold序列比较[J].信息技术,2006(6).

[4] 宋全有,李振伟.平衡Gold序列的软件实现[J].商丘师范学院学报,2006(5).

[5] 曾兴雯,刘乃安. 扩展频谱通信及其多址技术[M] .2版.西安:西安电子科技大学,2009.endprint