基于DSP的隔离型模数转换器设计

2017-03-27 12:20陈志雄徐海荣
电子设计工程 2017年6期
关键词:测频管脚脉冲

周 虹,陈志雄,徐海荣

(上海工程技术大学 上海 201620)

基于DSP的隔离型模数转换器设计

周 虹,陈志雄,徐海荣

(上海工程技术大学 上海 201620)

针对强干扰场合的信号采样问题,利用DSP芯片的高性能时钟及捕获功能,实现一种新的模数转换器。将被测模拟信号变换为频率与输入量大小成正比的脉冲信号,经过光电隔离电路改善信号传输特性后,送至DSP处理器实现高精度的频率测量,最终由软件完成频率到模拟信号数值大小的换算,从而实现了模数转换与采样。实验结果表明,该模数转换器A/D转换精度可达12bit,模拟输入信号与模数采集系统能有效隔离、并具有硬件电路简单、抗干扰能力强的特点。

DSP;模数转换;强干扰;捕获;信号隔离;转换精度

在自动化控制领域,模数转换器(ADC)从传感器和待测设备采集连续变化的模拟量并转换为数字量,是信号传输处理环节不可缺少的部分[1]。

但实际工业检测及生产控制场合中,外部模拟量往往存在噪声、高压、强电流等干扰。尤其是变频器等机电设备的广泛使用,对外部产生很严重的电磁干扰[2-3],使AD信号采样条件更加恶劣,而传统模数转换器很难在中央处理单元和检测仪表、控制设备之间进行电气隔离[4],常规的抗干扰措施如降低变频器载波频率,输入滤波等往往作用也不大。对于这种强干扰工业场合的AD采样过程,解决抗干扰、精确测量低频信号是必须解决的一个技术问题。

文中基于DSP处理器设计隔离型模数转换器不仅可以实现模数系统的有效隔离,而且能够避免信号在传输时受到各种干扰,从而大大提高系统的采样精度。

1 隔离型模数转换器原理方案

隔离型模数转换器采用DSP的数值控制方式,DSP选择TI公司推出的150 MHz高精度定点数字信号控制器TMS320F2812芯片,其高效的32位CPU内核、支持浮点运算,片内硬件资源丰富[5],这种基于DSP的控制方式不需要复杂的模拟电路,能够用软件实现复杂的算法,具有软硬件模块化、测量功能可重组的特点,为提高系统的可靠性和测量精度奠定了基础[6]。

虽然TMS320F2812内部已含有ADC模块,但集成于F2812的ADC模块具有一定增益误差和偏移误差,将该ADC用于强干扰控制场合,转换时间和转换精度都会受到限制[7-8]。为了在工业控制的数据采样中克服强干扰,文中基于VFC与DSP捕获功能设计隔离型模数转换器,具体方案主要包含3个部分:VFC(电压-频率转换)与隔离模块,TMS320F28 12DSP测频模块,F/V软件转换模块。

图1 隔离型模数转换器原理示意框图

2 VFC与隔离模块

VFC实际上是一种模拟量和数字量之间的转换。选用美国国家半导体公司(NS)生产的精密单片集成电路LM331作为电压-频率 (V-F)转换器。LM331把输入的模拟电压信号转换为一串频率正比于模拟信号幅值的矩形波。

LM331输出管采用集电极开路形式,因此可以通过选择不同电压源和外接电阻,灵活改变输出脉冲的逻辑电平,从而适应TTL、DTL和CMOS等不同的逻辑电路。LM331外接电路较为简单,只需接入很少外部元件就可方便构成V-F等变换电路,并且容易保证转换精度。根据检测对象要求设计的电路如图2,LM331各管脚功能定义如下[9]:

图2 隔离型AD转换电路

管脚1:CUR-O,电流输出端。它是内部一个精密电流源的输出端,在管脚3输出逻辑低电平时,该管脚流出的电流对外部电容C10充电。

管脚2:REF-C,参考电流。该脚由内部的一个电流泵提供50~500 uA的电流,该管脚外接一个电阻Rv1(4~150 KΩ可调)到地。该脚为增益调整,改变Rv1的值可调节电路转换增益的大小。

管脚3:FO,脉冲频率输出端。输入电压经过VF转换后产生的矩形波由此输出,其内部为晶体管集电极开路输出,因此外部必须接有上拉电阻到正电源。该管脚输出的脉冲宽度由5脚外置的R8、C11决定。

管脚4:GND,接地端。

管脚5:R/C,外接定时电阻R8和定时电容C11。它们是内部单稳态定时电路的定时元件。

管脚6:THR,阈值电压。该脚是内部输入比较器的反相输输入端,该管脚电压与7脚的输入电压进行比较,并根据比较结果启动内部的单稳态定时电路。

管脚7:CMP-I,被测电压输入端。内部输入比较器的同相输入端。

管脚8:Vcc,电源正端。

图2电路中R6、C9为输入低通滤波器,用于滤除杂波干扰。如果从7脚输入的电压波动较大,也可加大电容C9的容量。

文中为适应工业信号电流型传输(输入电流4~20 mA),在模拟输入端AN_IN1下拉一个500 Ω电阻,使输入电流在该电阻上能产生2~10 V的电压,作为LM331的电压输入信号。

在V/F方式工作后,输入电压转换产生的矩形波由管脚3 FO接至光耦OPT1。光电耦合可实现现场信号与测量电路之间、相邻输入通道之间的输入输出隔离,克服高共模电平[10]。光电隔离电路增强了系统的抗干扰能力,并改善了信号的传输特性。

3 DSP测频模块

V/F转换并隔离好的信号经TLP521的F_IN1管脚送入TMS320F2812捕获引脚。TMS320F2812片上集成了丰富的片内外设,具有强大的事件管理能力和嵌入式控制能力。测频模块有效利用F2812的片内外设事件管理器(EV)的捕获功能,在被测信号的有效电平跳变沿捕获计数,电路实现多靠软件设置,运算简单,实时性好。

3.1 事件管理器及其捕获单元

TMS320F2812片内外设事件管理器含有两个模块EVA和EVB,每个模块都包括2个通用目的(GP)定时器、3个全比较/PWM单元、3个捕捉单元和1个正交编码脉冲电路[11]。

对于TMS320F2812事件管理器6个捕获单元CAPx(x=1,2,…6),每一个捕获单元都有一个相应的捕获输入引脚,均需选择GP定时器2或1作为其时间基准。当捕获输入引脚CAPx上检测到一个跳变(跳变的方式可以由用户指定为上升沿、下降沿或两个边沿)时,GP定时器的值被捕获并锁存在相应的专用2级深度FIFO堆栈(顶层堆栈CAPFIFOx及底层CAPxFBOT堆栈)中。一次测频过程包括两次捕捉,第一次捕捉到引脚跳变时,捕获单元将记录所选用GP定时器的计数值并把该值写入FIFO堆栈的顶层寄存器。如果在第一次捕捉的值读取之前发生第二次捕捉,一个新的捕捉值会被送入底层寄存器。捕获单元捕捉到数值后,相应的中断标志位置1,如果中断没有被屏蔽,就会产生外围设备中断请求。中断响应后,通过中断服务程序从FIFO堆栈中读出两次存入的先后捕获值。该对捕捉值正好是一个被测频率周期的两次计数。由捕捉值计算出一个周波内的标频脉冲数,进而得出被测周波的频率。频率计算公式为:

式中cap1,cap2分别表示CAPxFBOT及CAPFIFOx的堆栈值。

3.2 测频软件设计

根据事件管理器中捕获单元的原理,设计软件捕获脉冲信号并测频。为平滑脉冲信号的抖动,滤除其中高频干扰,从而减小频率测试过程中随机误差,利用用F2812内的CPU定时器CPU_Timer0产生500 ms的时间段,取500 ms时间段内多次采样的平均值为测试结果。软件流程如图3所示。系统在上电或复位后,软件首先进行系统初始化工作,包括系统时钟配置、中断配置、引脚配置及看门狗配置,同时正确配置外设,初始化EV,设定TMS320F2812的CAP1为捕获单元,并选定GP定时器T1为CAP1的时间基准。外设配置完成后启动CPU_Timer0,GP定时器T1与CAP1,使能 T1上溢中断,设置T1的比较值为1,等待中断发生T1CINT置位,进入中断服务程序读取捕获值,计算测量频率。

图3 测频程序流程框图

4 F/V软件转换模块

测频模块使用外部中断法捕获并测量得到脉冲信号的频率,根据LM331工作原理分析模拟输入信号与输出频率的转换关系。

LM331内部电路包括输入比较电路、定时比较电路、R-S触发电路、复零晶体管、输出驱动管等部分[12-13]。

LM331开始进入一个执行周期时,首先由内部比较电路将管脚7输入电平Uin和管脚6电平UT相比较,如果Uin>UT,比较器的输出将触发定时器延时T=1.1*R8*C11,定时器的输出导通复零晶体管,同时电流源对C10充电。当电容C10两端充电电压大于Vcc的2/3时,充电电流断开,定时器自复位,C10通过R7放电直到Uin>UT,进入下一个执行周期,如此反复循环,构成自激振荡。由于基准电压维持为1.9 V,恒流电流i=1.9/(R9+RV1),代入C10的平均充电电流IAVE=i*1.1*R8*C11*fo,即:

当C10充放电平均电流平衡时,IAVE=Vin/R7,得:

由上式容易看出,输出脉冲的频率与输入电压值呈线性关系,可以通过测量脉冲的频率来得知输入电压的大小。即:

5 转换性能分析与验证

基于 VFC和 DSP的隔离型模数转换器经LM331产生并传送脉冲信号至DSP,电路结构简单。与模拟信号由幅值代表其大小不同,脉冲信号大小由脉冲宽度表征,并且始终只有高电平和低电平两种数字形式,对加性噪声干扰不明显,因此脉冲信号在传输中的抗干扰能力大大提高。隔离型模数转换器主要性能指标有转换精度、转换速度等[14],对提出的转换器就这些指标进行性能分析。

5.1 转换精度分析

模拟输入信号转换成数字信号,会由于过程中多种因素导致转换结果出现静态精度和量化误差[15]。 文中提出的数模转换器主要误差因素来自LM331 V/F转换精度和DSP处理器对捕获信号处理时产生的误差。

LM331集成单片采用新的温度补偿能隙基准电路,在整个工作温度范围内和低至4.0 V电源电压下都有极高的精度;同时它的动态范围宽,可达100 dB;线性度好,最大非线性失真小于0.01%,工作频率低到0.1 Hz时尚有较好的线性;变换精度高,数字分辨率可达12位;

而DSP处理器对捕获信号处理时采用中断方式捕获脉冲频率信号,期间可能产生脉冲计数误差。DSP捕捉到的GP定时器值TN(除第一周波外)与频率f成比例关系,即:

f=k*150*106/TN(其中k为输入信号分频系数)。

假如对FNHz的脉冲信号进行测频,计数值为150*106/FN次。而对信号进行K次分频后,则计数值将是k*150*106/FN次。定时器由于计数造成的绝对误差为FN/(K×150×106)。

即DSP测频绝对误差大约在10-6以内,采用时间预定标器对信号分频可以进一步减少测量误差,提高测量精度。

5.2 响应时间分析

文中提出的隔离型数模转换器的转换速度仍然和LM331 V/F和DSP处理器处理速度相关。由于LM331存在电容充放电速度,其最高输出频率为100 kHz,表明V/F转换时间可以维持在10 μs内。DSP中断转换的时间依赖于微处理器的时钟频率,F2812工作时钟频率达150 MHz,外部中断响应时间可以达到ns级。综合整个电路分析,隔离型数模转换器的转换速度为μs级。

从上述分析还可看出,对于一般模数转换器转换器,转换精度与其响应时间是一对矛盾的性能参数,而基于DSP的隔离型模数转换器则避免了这个问题。

5.3 与DSP内集成的ADC采样结果比较

为验证隔离型模数转换器性能,在实验室环境用4~20 mA信号发生器 (型号为MIK-502S,分辨率:1 μA)产生不同的电流信号,分别用隔离型模数转换器和ADC测出电压再转换成电流值,得到两种转换结果及其相对误差如表1所示。其相对误差通过实际输出和输入信号的差值除以输入信号得到。

由表1可以看出,本测频系统的测量精度可达到0.01%。在所取的5组数据中,有四组使用隔离型模数转换器进行 A/D 转换的误差比使用TMS320F2812ADC进行A/D转换误差小。

表1 转换结果比较

6 结 论

文中提出的隔离型模数转换器在某企业锅炉精准控制的参数测量中获得了成功应用。控制系统采用该隔离模拟转换模块对蒸汽机压力及煤粉电子计量等参数进行实时测量与控制,提高了采样的实时性,系统工作稳定可靠。

本设计使用了光电隔离技术处理模拟信号,充分利用F2812 DSP的内部资源,即使用事件管理器中的定时器、捕获单元完成频率的测量再转换成模拟量;兼顾了测量精度、工作稳定性、抗干扰性及成本,达到较高的性价比,可广泛用于各种控制现场的模拟量采集。

[1]李敏.模数转换技术综述及专利申请状况分析[J].山东工业技术,2015(14):242-243.

[2]辛中华.实验室通风系统变频装置EMC解决方案[J].安全与电磁兼容,2016(1):74-77.

[3]李晓莉.仪表信号受变频干扰的处理[J].电子测试,2015(21):45-46.

[4]皮运生.模数转换器应用电路中的常见问题及解决方案[J].微处理机,2008,29(4):164-166.

[5]苏奎峰吕强.TMS320F2812原理与开发[M].北京:电子工业出版社,2006.

[6]JING Jianguo,TIAN Jinyan,TIAN Yuhua.Design of Power QualityDetection System Based on TMS320F2812 [J]. Electrical & Energy Management Technology,2015(8):57-60.

[7]张磊.数字芯片ADC模块的常见失效分析 [J].电子测试,2009(3):83-85.

[8]班允强,梁英,刘朝强.基于TMS320F2812的ADC校正算法设计与实现 [J].微型机与应用,2015(17):16-17.

[9]盛碧琦,应忠于,胡云琴.LM331内部电路结构及实验验证[J].信息技术,2015(10):214-216.

[10]刘熹,刘迎春,邵珠枫.光电耦合器选型与应用[J].工程机械与维修,2014(1):128-129.

[11]TMS320F28x Event Manager (EV)Peripheral Reference Guide.Texas Instrument,2002.

[12]刘芳.一种基于LM331集成芯片的湿度计设计[J].电子技术与软件工程,2014(2):141-141.

[13]黄伟,庄志红.一种基于频率/电流转换的4~20mA电路设计[J].电子设计工程,2015,23(22):86-88.

[14]张喆,姚崇斌.一种测试模数转换器主要性能指标的测试方法:CN102868402A[P].2013.

[15]李沂乘.采样计算方法测量交流电压有效值误差分析[J].电子测量与仪器学报,2008,22(z1):62-65.

Design of isolated analog-digital converter based on DSP

ZHOU Hong,CHEN Zhi-xiong,XU Hai-rong
(Shanghai University of Engineering Science,Shanghai 201620,China)

Aiming at signal sampling under strong interference environment,Ananalogdigital conversion circuit usinghigh precision clock and capture function of DSP is presented.The measured analog signal is converted into pulse signal whose frequency is proportional to the input signal.After the photoelectric isolation circuit to improve the signal transmission characteristics,the pulse signal is sent to DSP processor to achieve high precision frequency measurement.Eventually the analog signal value can be calculated by the software.The experimental results proveits precision can be up to 12 bit A/D transformation precision and the system has some merits of signal sampling,such as convenience for signal isolation,simple transforming circuit and strong anti-interference ability.

DSP;analogdigital conversion;strong interference;capture;signal isolation;precision of transformation

TN79+2

:A

:1674-6236(2017)06-0079-05

2016-05-30稿件编号:201605298

国家自然科学基金(51465047);航空科学基金(2014ZD56009)

周 虹(1973—),女,江西吉安人,博士,高工。研究方向:系统可靠性分析、自动化。

猜你喜欢
测频管脚脉冲
脉冲离散Ginzburg-Landau方程组的统计解及其极限行为
谐振式传感器高精度频率测量技术研究*
上下解反向的脉冲微分包含解的存在性
黄芩苷脉冲片的制备
基于图像处理的异型电子元器件管脚偏移误差检测方法研究
CMOS数字IC管脚电容的估算与测量
瞬时测频接收机自动测试系统的设计与实现
电子侦察测频系统的建模与仿真
瀑布沟水电站调速器齿盘测频的技术改造
HC08芯片JVT测试方法的探究