时钟

  • 面向SoC 开发的一致性时钟信息管理方案
    芯片开发流程中,时钟信息来源于系统工程师(System Engineer,SE),包含时钟树[1]和时钟频率。核心时钟信息一般会采用Excel 表格形式进行人工维护。接下来该表格信息交由设计工程师(Design Engineer,DE),他们根据时钟信息来实现设计,并进一步细化时钟表格内的信息。DE 在填充更多时钟信息后,将其交由验证工程师(Verification Engineer,VE)和后端工程师(Backend Engineer,BE)。最终,不同

    电子设计工程 2023年22期2023-11-21

  • 基于FPGA 的软件锁相环分析与实现
    射频混合单板对于时钟的要求很高,时钟的信号精度直接影响到系统的性能。单板的时钟参考来自于BBU,CPU 与接口FPGA互相配合,通过软件锁相环的方式将本地时钟同步到BBU 的参考时钟上,获得一个稳定的10 MHz 参考时钟。1 概述系统必须以BBU光纤恢复的时钟作为参考,生成本地的工作时钟,实现整个网络的时钟同步。LMX2306是NS 公司生产的一款单片集成的射频PLL 芯片,由LMX2306 构成锁相环,只需结合高稳定度的本地参考振荡,再外置环路滤波和压

    电子设计工程 2022年17期2022-09-14

  • 高性能众核处理器芯片时钟网络设计
    微处理器基于同步时钟系统进行设计开发。时钟信号为芯片内同步系统提供参考时间,是同步时序逻辑运行的基础[1-3]。时钟信号通常是芯片中扇出最大、负载最重、传输距离和覆盖面最广的信号。时钟偏斜对时序逻辑电路正确运行性能具有重要的制约作用。在通常情况下,时钟网络的偏斜由设计及工艺与应用环境两方面因素影响决定。设计因素包括各时钟节点负载平衡性以及从时钟源端输出的传输距离、传输级数与布线方式。工艺与应用环境因素包括工艺角、片上工艺偏差、工作电压与温度[4-5]。为保

    计算机工程 2022年8期2022-08-12

  • IEEE1588精密时钟同步协议的实现探讨
    控制系统也提出对时钟同步精度的更高标准,本文以IEEE1588精密时钟同步协议为例,对该高精度时钟的同步机制与校正原理阐述说明,并对IEEE1588协议的BMC(最佳主时钟)、LCS(本地时钟同步)两大核心算法进行分析,并以技术开发角度提出了IEEE1588精密时钟同步协议,应用于数字化通信机房的应用方案,通过系统测试发现了数字化通信机房内IEEE1588的高精度时间同步实现可行性。IEEE1588作为一种精密时钟同步协议标准,主要应用于网络测量及控制系统

    电子世界 2021年2期2021-02-07

  • 时钟
    一个新组装好的小时钟被放在两个旧时钟之间,两个旧时钟的分针和秒针“滴答滴答”地走着。其中一个旧时钟对小时钟说:“来吧,你也该工作了。可是我有点担心,当你走完3200万次以后,恐怕就会吃不消了。”“天啊,3200万次!”小时钟吃惊不已,“要我做这么大的事,我办不到……”另一个旧时钟说:“别听它胡说八道,不用害怕,你只要每秒‘滴答摆一下就行了。”“天下哪有这样简单的事?”小时钟将信将疑,“不过既然这样,那我就试试吧。”后來,小时钟便一直坚持每秒“滴答”摆一下,

    求学·理科版 2018年12期2018-12-24

  • 时钟
    一个新组装好的小时钟被放在两个旧时钟之间,两个旧时钟的分针和秒针“滴答滴答”地走着。其中一個旧时钟对小时钟说:“来吧,你也该工作了。可是我有点担心,当你走完3200万次以后,恐怕就会吃不消了。”“天啊,3200万次!”小时钟吃惊不已,“要我做这么大的事,我办不到……”另一个旧时钟说:“别听它胡说八道,不用害怕,你只要每秒‘滴答摆一下就行了。”“天下哪有这样简单的事?”小时钟将信将疑,“不过既然这样,那我就试试吧。”后来,小时钟便一直坚持每秒“滴答”摆一下,

    求学·文科版 2018年12期2018-12-22

  • 时 钟
    去上学时,家里的时钟是7时55分。笑笑以最快的速度跑到学校。到学校时,她见校门口的时钟是8时10分。因数学作业忘在了家里,于是她立刻又以同样的速度跑回家,到家时,家里的时钟是8时15分。小朋友,你知道学校的时钟与笑笑家里的时钟哪个快吗?快多长时间呢?学校的时钟快,快5分钟。

    数学小灵通·3-4年级 2018年9期2018-09-29

  • 时钟跳变预警发布系统在江苏广电总台的应用
    苏省广播电视总台时钟跳变预警发布系统在江苏广电总台的应用梁枫 沈洲 江苏省广播电视总台本文以江苏广电总台时钟系统为例,分析了当前时钟系统运行的现状、薄弱环节和改造情况,由此设计一种多来源时钟比对预警发布系统,介绍了其设计原理、系统构成及应用情况。北斗/GPS时钟 多级防跳变 时钟比对 预警发布1.引言电视台时钟系统是一个大型通信计时系统,用以实现电视台内部相关技术系统之间的时间信号统一,便于电视台内演播、制作、播出链路的所有环节在同一时间下准确无误地工作。

    视听界(广播电视技术) 2017年5期2017-12-05

  • 一种由反相器构成的全定制时钟
    相器构成的全定制时钟树贾柱良 杜 明 黄阁飞(国微电子有限公司,广东 深圳 518057)本文介绍了一种由反相器构成的全定制时钟树,采用clockmesh+H_tree结构;通过virtuoso画出来的版图对称性更好,然后提取lef和lib导入设计中。设计的时钟树具有时钟延时低、低skew等优点。全定制时钟树;clockmesh+H_tree;低skew1 引言随着集成电路的飞速发展,特征尺寸越来越小,芯片规模越来越大,芯片的工作频率也在不断提高。此时,时

    电脑与电信 2017年6期2017-08-08

  • STM32主时钟输出的双机系统应用
    1)STM32主时钟输出的双机系统应用徐建春(英格索兰亚太工程技术中心,上海 200051)介绍了STM32 单片机主时钟输出功能, 通过硬件设计和软件设计实现了主时钟输出功能、STM32单片机主时钟输出的波形展示, 以及在空调控制系统中的应用。STM32F030使用STM32F205输出的时钟,可以正常稳定的工作,经过多台实际机组的长期运行测试,两个单片机工作都非常稳定。STM32;主时钟输出;HVAC引 言在一个复杂控制系统的硬件电路设计中,有可能用到

    单片机与嵌入式系统应用 2017年2期2017-04-19

  • Silicon Labs发布支持4G和以太网的无线时钟
    G和以太网的无线时钟9月26日,Silicon Labs针对4.5G和基于以太网的通用公共无线电接口(eCPRI)无线应用,推出了全新的系列高性能、多通道抖动衰减时钟产品。新型Si5381/82/86系列时钟产品利用Silicon Labs经过验证的DSPLL技术提供先进的时钟解决方案,在单芯片中集成了4G和以太网时钟。这些高集成度的时钟产品可替代通常在高要求应用中所需的多个时钟器件和压控振荡器(VCXO),这些应用包括小型蜂窝网络、分布式天线系统、μ-B

    电信工程技术与标准化 2017年10期2017-04-12

  • 一种基于FPGA的微波时钟恢复的设计与实现
    于FPGA的微波时钟恢复的设计与实现张 丽,徐 妍,马丽珍(中兴通讯股份有限公司 上海研发中心,上海 201203)在微波通信系统中,受天气情况的影响,发送端的时钟频率可能随时变化。在接收端如何进行时钟恢复是微波通信的难点。本文给出了一种基于FPGA的微波无线口时钟恢复的设计。该设计使用FPGA内的PLL和FIFO,实时调整时钟频率,保证接收端恢复时钟的频率与发送端无线口的发射时钟信号频率一致,且减少了PLL个数,避免了PLL失锁及其引发的复位重新锁定过程

    网络安全与数据管理 2016年5期2017-01-12

  • 时钟花的秘密
    时钟花不是一种花,而是一个花科,包含很多品种,例如黄色时钟花、白色时钟花。它们的花开花谢非常有规律,这个规律不仅与日照、温度的变化密切相关,同时受其体内一种物质——时钟酶的控制。这种酶调节着时钟花的生理机能并控制着开花时间。日出后,随着气温逐渐升高,时钟酶活跃起来,促使花朵开放。当气温上升到一定程度,时钟酶的活性渐渐减弱,花朵也就自然闭合。endprint

    红领巾·探索 2016年10期2016-12-07

  • 童话般的“书写时钟
    一款复杂的“书写时钟”,每过1分钟,时钟周围的机器手就会擦掉过去的时间,书写上现在的时刻。这个时钟由许多轮轴建构而成,如童话般不可思议。虽然时钟书写出来的字并不标准,但这份“手写”的感动却是无可比拟的。据称,在制作和改良阶段,“书写时钟”的创作人每天花10多个小时,用了400多个木制零件才完成这件惊人的作品。

    军事文摘·科学少年 2016年8期2016-11-02

  • 童话般的“书写时钟
    童话般的“书写时钟”一名日本大学生自制了一款复杂的“书写时钟”,每过1分钟,时钟周围的机器手就会擦掉过去的时间,书写上现在的时刻。这个时钟由许多轮轴建构而成,如童话般不可思议。虽然时钟书写出来的字并不标准,但这份“手写”的感动却是无可比拟的。据称,在制作和改良阶段,“书写时钟”的创作人每天花10多个小时,用了400多个木制零件才完成这件惊人的作品。

    军事文摘 2016年16期2016-09-13

  • FPGA芯片时钟架构分析
    7)FPGA芯片时钟架构分析张艳飞1,谢长生2,匡晨光2 (1.中国电子科技集团公司第58研究所,江苏无锡214035; 2.无锡中微亿芯有限公司,江苏无锡214072)FPGA设计中时钟信号的设计与处理是保证系统稳定工作的重要组成部分,随着FPGA器件规模的不断增大,集成度不断提高,多时钟域管理、时钟延迟、时钟信号完整性和相位偏移等已成为影响FPGA设计的关键因素.结合微电子电路相关知识,针对Xilinx公司的Virtex4系列芯片,详细分析其时钟架构及

    电子与封装 2016年6期2016-09-06

  • 时钟会开“花”
    这zhè朵duǒ“花huā儿ér”真zhēn稀xī奇qí!不bù喜xǐ欢huān泥ní土tǔ,不bù喜xǐ欢huān雨yǔ,欢huān欢huān喜xǐ喜xǐ墙qiánɡ上shànɡ挂ɡuà。要yào想xiǎnɡ知zhī道dào几jǐ点diǎn几jǐ分fēn和hé几jǐ秒miǎo,瞧qiáo瞧qiɑo花huā蕊ruǐ就jiù知zhī道dɑo。这zhè个ɡè稀xī奇qí玩wán意yìr儿,其qí实shí是shì一yì款kuǎn时shí钟zhōnɡ。它tā的de

    学苑创造·A版 2016年4期2016-04-16

  • 时钟是弯成一圈的尺子
    李家成我wǒ很hěn佩pèi服fu严yán天tiān开kāi,因yīn为wèi他tā发fā明mínɡ了le新xīn的de计jì数shù器qì。我wǒ觉jué得de我wǒ也yě一yí定dìnɡ会huì发fā明mínɡ一yì种zhǒnɡ新xīn的de东dōnɡ西xi。因yīn为wèi我wǒ很hěn爱ài研yán究jiū家jiā里li的de各ɡè种zhǒnɡ东dōnɡ西xi。妈mā妈mɑ说shuō过ɡuo,爱ài迪dí生shēnɡ发fā明mínɡ东dōnɡ西xi

    数学大王·低年级 2015年12期2015-12-16

  • 数学达人专用时钟
    摇摇数shù学xué达dá人rén再zài也yě不bú用yònɡ为wèi生shēnɡ活huó用yònɡ品pǐn没méi个ɡè性xìnɡ而ér发fā愁chóu了le,数shù学xué达dá人rén专zhuān用yònɡ时shí钟zhōnɡ已yǐ经jīnɡ新xīn鲜xiān出chū炉lú!这zhè种zhǒnɡ时shí钟zhōnɡ上shànɡ面miɑn的de钟zhōnɡ点diǎn不bú是shì普pǔ通tōnɡ的de数shù字zì,而ér是shì奇qí妙miào的

    数学大王·低年级 2015年3期2015-04-17

  • 后端实现时几种减小时钟延迟的有效方法
    ,需要实现更高的时钟频率、更多的时钟域及更复杂的时钟结构。现在的工艺尺寸可以缩减到65 nm、40 nm或更小,先进的工艺技术可提高集成电路器件集成度及生产出更大的芯片尺寸,但这同时意味着时钟网络的负载越来越重并可能穿过更长的距离。因此,芯片时钟的不确定性和时钟延时会变得更为可观,芯片的时序收敛也成为一项艰巨的任务。例如,台积电的65 nm低功耗设计标准如下:WC corner(setup check):set_timing_derate from 0.9

    电子与封装 2014年3期2014-12-05

  • Kinetis系列MCU时钟系统结构与配置研究*
    tis系列MCU时钟系统结构与配置研究*蒋建武1,2,王宜怀1*(苏州大学计算机科学与技术学院,江苏 苏州 215006;泰州职业技术学院信息工程学院,江苏 泰州 225300)内嵌ARM®CortexTM-M核的Kinetis系列微控制器具有复杂的时钟系统,时钟系统中多功能时钟发生器、锁相环、锁频环、晶振系统等功能模块协调工作时能为应用系统提供稳定的时钟源。通过对K60时钟系统的结构和配置方法的剖析,以及对多功能时钟发生器运行机制的梳理,提出了时钟源性能

    电子器件 2014年6期2014-09-06

  • S1字节和SDH网络时钟保护倒换原理
    个网元通过一定的时钟同步路径一级一级地跟踪到同一个时钟基准源,从而实现整个网的同步。通常,一个网元获得同步时钟源的路径并非只有一条。也就是说,一个网元同时可能有多个时钟基准源可用。 这些时钟基准源可能来自于同一个主时钟源,也可能来自于不同质量的时钟基准源。在同步网中,保持各个网元的时钟尽量同步是极其重要的。为避免由于一条时钟同步路径的中断,导致整个同步网的失步,有必要考虑同步时钟的自动保护倒换问题。也就是说,当一个网元所跟踪的某路同步时钟基准源发生丢失的时

    中国传媒科技 2014年10期2014-02-08

  • 精确时钟同步协议分析及实现
    些分布式设备中的时钟,整个系统将不可能很好地工作。在兼顾精度和低成本方面,现存的时钟同步协议,如NTP/SNTP,GPS并不能很好地满足这些系统的要求。在此背景下,IEEE Std 1588TM —2002《网络测量和控制系统的精确时钟同步协议》(简称IEEE 1588 协议) 已于2002以标准形式年发布[1]。2004年,IEC也发布了相应的IEC 61588 标准。IEEE Std 1588TM —2008作为IEEE Std 1588TM —200

    微型电脑应用 2012年10期2012-07-25

  • 三取二平台的时钟同步算法
    071 上海1 时钟同步介绍高安全性、高可靠性系统经常会使用三取二平台作为系统处理器,尤其在铁路信号领域中,有些设备可能需要持续工作几年甚至几十年,并且系统安全完善度等级需达到SIL4,这些需求对硬件的搭建提出了许多苛刻的要求。在搭建三取二平台中,最重要的有2个功能:第1个是时钟同步;第2个是数据同步,也称为数据一致性比较。这里主要介绍时钟同步算法。三取二平台中,3个通道独立工作,但需要进行准确的时钟同步,否则无法获取相同的输入值,也无法获得一致的运算时序

    铁道通信信号 2011年12期2011-07-30

  • 级联型PLL时钟处理器对系统定时影响最小
    的飞速发展,用于时钟分配的复杂树状结构得到了广泛的运用。为了给许多被时钟分配及其他设计用来传送数据(通过众多具有数字时域精度的不同功能设计组合单元)的节点馈送信号,时钟树是必需的。由于需要采用大量的时钟来对系统中的多个节点进行定时,因此,在严格且非常精确和受限的窗口时间内生成这些定时时钟也就成了当务之急。

    电子设计应用 2004年9期2004-09-17