基于VHDL的交通管理器设计

2012-11-20 07:10朱志平
渭南师范学院学报 2012年6期
关键词:渭南高电平交通管理

朱志平

(渭南师范学院物理与电气工程学院,陕西渭南714000)

基于VHDL的交通管理器设计

朱志平

(渭南师范学院物理与电气工程学院,陕西渭南714000)

通过设计实例,详细说明如何在实际设计中,应用VHDL语言和原理图设计方法来设计复杂的逻辑电路.根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,利用波形仿真工具,可在短时间内设计出高效、稳定、符合设计要求的电路,具有传统逻辑设计方法所无法比拟的优越性.

交通管理器;VHDL;控制器;定时器

交通管理器是整个交通运输监管系统极为重要的组成部分,对于保证交通运输的安全运行,维持交通运输的顺畅起到了重要作用.目前基于VHDL语言的各种系统设计很多,但它们大多都是框架性设计,不涉及具体的过程和程序[1-3].本文通过十字路口交通管理器的设计实例,详细说明如何在实际应用中,在Alter Quartus II环境下,应用VHDL语言和原理图设计方法来设计复杂的逻辑电路[4-5].

1 交通管理器的功能

交通管理器控制十字路口甲、乙两道的红、黄、绿三色灯,指挥车辆和行人安全通行.交通管理器示意图如图 1 所示.图 1 中,R1、Y1、G1是甲道红、黄、绿灯;R2、Y2、G2是乙道红、黄、绿灯.

图1 十字口交通管理器示意图

该交通管理器由控制器和受其控制的3个定时器以及6个交通管理灯组成.图中3个定时器分别确定甲道和乙道通行时间t3、t1以及公共的停车(黄灯亮)时间t2.这3个定时器采用以秒信号为时钟的计数器来实现,C1、C2和C3分别是这些定时器的工作使能信号,即当C1、C2或C3为1时,相应的定时器开始计数,W1、W2和W3为定时计数器的指示信号,计数器在计数过程中,相应的指示信号为0,计数结束时为1.

2 交通管理器的状态及设计流程

根据交通管理器要实现的功能,考虑用状态机来控制甲道和乙道2个方向的6盏灯.首先设甲道禁止乙道通行,这时 R1=1,C1=1,G2=1,称它为 s00态,简写 S0.随后是甲道禁止乙道停车,R1=1,C2=1,Y2=1,称它为s01态,简写S1.接着是甲道通行乙道禁止,G1=1,C3=1,R2=1,称它为s11态,简写S2.最后便是甲道停车乙道禁止,Y1=1,C2=1,R2=1,称它为s10态,简写S3.当s10态运行完毕以后便返回到s00态,这样周而复始地运行,轮流控制6盏交通灯.其状态图如图2所示.

根据状态图就可得出交通管理器的设计流程图,如图3所示.

图2 状态图

3 交通管理器的设计

本设计采用层次描述方式,也采用原理图输入和文本输入混合方式建立描述文件,这和现在大多数只用文本描述方式不同[1-5],整个设计过程较为清晰.图4是交通管理器顶层图形输入文件,它用原理图形式表明系统的组成,即系统由控制器和3个定时计数器组成,3个定时计数器的模分别为26、5和30.

在顶层图形文件中的各模块,其功能用第二层次VHDL源文件描述.

图3 交通管理器工作流程图

图4 交通管理器顶层图形文件

3.1 控制器的逻辑描述

控制器是整个交通管理器的核心部件,控制三个定时器相互配合工作,保证交通安全畅通无阻运行的关键所在.它的逻辑描述如下:

3.2 定时器逻辑描述

在交通管理器中有三个定时器,每个定时器定时各不相同,但就其逻辑描述而言,是完全相同的[6-8].下面以30秒定时计数器的逻辑描述为例作以说明.

编译器将顶层图形输入文件和第二层次功能块VHDL输入文件相结合并编译,即可产生交通管理器的目标文件.

4 波形仿真

图5 交通管理器仿真波形

交通管理器的仿真波形如图5所示.从图中可以看出,首先是甲道禁止(R1为高电平),乙道通行(G2为高电平);经过30秒后,转换成甲道禁止(R1为高电平),乙道停车(Y2为高电平);经过5秒后,转换成甲道通行(G1为高电平),乙道禁止(R2为高电平);经过26秒后,转换成甲道停车(Y1为高电平),乙道禁止(R2为高电平);再经过5秒,再次转换成甲道禁止(R1为高电平),乙道通行(G2为高电平)状态,完成了一个工作循环.从图中可以看出,设计达到了预定的要求.

5 结束语

通过设计实例,在Alter Quartus II环境下,用VHDL语言和原理图实现交通管理器的复杂电路.根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,利用波形仿真工具,可在短时间内设计出高效、稳定、符合设计要求的电路.这种设计方法使设计人员摆脱了电路细节的束缚,效率高、可靠性好、成本低,具有传统逻辑设计方法所无法比拟的优越性.

[1]林建华.基于VHDL语言的交通灯管理系统的设计[J].仪器仪表用户,2011,(3):11.

[2]万军华,刘瑞通.基于VHDL的多功能数字闹钟设计[J].湖南理工学院学报,2011,(1):67-71.

[3]江翠云.基于CPLD和VHDL的数字钟的设计[J].硅谷,2010,(2):17.

[4]潘松,黄继业.EDA 技术实用教程[M].北京:科学出版社,2006.

[5]李洋.EDA 技术实用教程[M].北京:机械工业出版社,2009.201-210.

[6]李春雨,高原.基于VHDL状态机的交通灯控制器设计[J].山西电子(技术),2010,(1):54-56.

[7]李晓敏,田熙燕.基于VHDL语言的数字时钟设计[J].电子设计工程,2011,(13):30-32.

[8]刘小,李鹏程,余子威.基于VHDL的99小时定时器设计及实现[J].电子元器件应用,2010,(3):42-44.

Design of Traffic Light Control System Based on VHDL

ZHU Zhi-ping
(School of Physics and Electrical Engineering,Weinan Normal University,Weinan 714000,China)

With the design example,how to apply VHDL language and principle diagram to design complex logic circuit in the actual design is detailedly explained.According to VHDL grammar rules,the system logic behavior is described,and then the integrated circuit structure is synthesized,compiled and optimized by comprehensive tools.Through using waveform simulation tools,the efficient,stable,comply with the requirements of the circuit can be designed in a short time and this is traditional logical design method incomparable advantages.

traffic light control system;VHDL;controller;timer

TP312

A

1009—5128(2012)06—0024—05

2012—03—12

陕西省军民融合研究基金项目(11JMR07);渭南师范学院科研计划项目(10YKF012)

朱志平(1959—),男,陕西武功人,渭南师范学院物理与电气工程学院副教授.研究方向:电子电气工程.

【责任编辑 牛怀岗】

猜你喜欢
渭南高电平交通管理
一种基于FPGA的PWM防错输出控制电路
陕西渭南:开展农资打假“百日行动”
TS-03C全固态PDM中波发射机开关机控制电路原理及故障分析
大数据下的智能化交通管理
交通管理大数据 智能研判平台SEARI-TBDS
浅谈物理电路与数字电路
三国渭南之战
PDM 1kW中波广播发射机保护电路分析
对设区城市交通管理立法的思考
新常态下的渭南文物旅游