基于FPGA的超声波测距系统设计与实现

2014-02-22 02:43
电子测试 2014年22期
关键词:声速检测法测距

(绥化学院电气工程学院,黑龙江绥化,152061)

基于FPGA的超声波测距系统设计与实现

张全禹,苏宝林,李怀亮,孙培刚

(绥化学院电气工程学院,黑龙江绥化,152061)

针对单片机为控制核心的测距系统运行速度慢、抗干扰能力低且测量精度难以提高的不足,文中给出了以FPGA为控制核心、基于回波检测法的超声波测距系统,主要由超声波测距模块、FPGA模块和温度测量电路模块构成。实验表明:该系统运行速度快、抗干扰能力强,精度较高,可以满足车辆避障、液位测量等场合的用户需求。

FPGA;超声波测距;回波检测

0 引言

随着传感器技术和测量技术的发展,非接触测量技术已广泛应用于工业测量、农业生产、军事等相关领域。超声波测量技术是典型非接触测量技术之一,具有方向性好、抗干扰强的特点,尤其对被测物体处于光线弱、有毒、粉尘或烟雾以及强电磁等恶劣环境下,超声波有很强的适应性。超声波测量技术已广应用于液位测量、机械内部损伤检测、车辆避障、现场机器人等领域,应用前景广阔。目前,大部分超声波测距系统都是以单片机为控制核心,其系统运行速度慢、抗干扰能力低、而且测量精度难以提高,难以满足用户的需求。FPGA是一种高密度可编程器件,具有IO口多、并行运算、集成度高等特点,能够弥补单片机为控制核心的不足,为开发和设计高速、高精度的超声波测距系统提供了参考。

1 超声波测距原理

超声波测距的方法包括相位检测法、峰值检测法和回波检测法。其中回波检测法原理简单、易于实现,因此,本文选用回波检测法。超声波测距原理如图1所示。超声波传感器发射探头向外发射超声波,计时器开始计数,在介质的传输过程中遇到物体后反射回来,超声波传感器接收探头接收到反射波,计数器终止计数。由超声波的传播速率和往返时间可确定超声波传感器与物体的距离。

其中,V为超声波的传播速率,m/s;T为超声波传播过程中经历的时间,s ;也叫渡越时间;S为超声波传感器与物体之间的距离,m。

图1 超声波测距原理图

2 系统设计

本系统以ALTERA公司的CycloneIV系列的EP4CE6F17C8型FPGA为控制器,采用收发一体的HC-SR04超声波测距模块进行测距。该系统主要包括超声波测距模块、FPGA模块、温度测量电路模块和电源模块四部分,其中FPGA模块在FPGA开发系统上完成。基于FPGA的超声波测距系统框图如图2所示。

图2 超声波测距系统框图

超声波测距模块主要是发射超声波信号和接收回波信号;FPGA模块主要是对整个系统进行控制、采集相关信息和处理;温度测量电路模块主要是测量环境的温度,给FPGA控制器提供修证声速值的环境温度数据;电源模块是为整个系统提供电源。

2.1 超声波测距模块

本文选用中心频率为40kHz的HC-SR04超声波测距模块。该模块的探测距离为2cm~400cm,工作用电压为5V,静态工作电流小于2mA,感应角度小于15度,满足本设计需求。它主要包括电源接口、接地接口、控制端口和接收端口。其中控制端口用于接收控制器FPGA输出大于10us的触发信号;接收端口用于计算高电平信号的时间(渡越时间)。超声波测距模块如图3所示。

2.2 温度测量电路模块

由于声速主要与环境温度有关,因此为了提高测距精度,设计了温度测量电路。在空气介质中,声速与温度的关系为:

其中,T为环境摄氏温度,℃。由此关系式可得到声速与温度的关系表及修正关系表。

文中选用美国Dallas半导体公司的智能数字温度传感器DS18B20。该传感器为单总线式,它的测量范围宽(-55~125)、分辨率高、体积小而且连线简单,温度测量电路如图4所示。

图4 温度测量电路

2.3 FPGA内部电路模块

FPGA内部电路包括触发信号产生电路、计数时钟电路、计数电路、门槛电路、查表电路、数据处理电路和温度、距离显示控制电路等。在时钟作用下FPGA内部产生40kHz的触发信号送给超声波测距模块,超声波换能器发射超声波,计时器开始计数(接收端为高电平),当接收到回波信号时,接收端变为低电平,计数器停止计数。同时温度测量电路将采集的温度数据送给查表电路进行声速数据修正。最后将计数值和修正的声速数据送给数据电路处理和计算出距离,并在数码管上显示。

3 系统测试结果与分析

为了验证本系统的测量精度,在室温25℃下,选用室内的墙壁做为研究对象进行了实际测量实验。随机抽取了5次实测结果并求出了平均值、绝对误差和相对误差。数据如表1所示。

4 结束语

本文采用回波检测法和利用FPGA芯片的强大优势设计了以FPGA为控制核心的测距系统,该系统运行速度快、抗干扰能力强,精度较高,克服了以单片机为控制核心的测距系统的不足,能够满足车辆避障、液位测量等场合的用户需求,如果需要测量不同角度的物体,可以在原有测距系统的基础上扩展即可。

[1] 李戈,孟祥杰等.国内超声波测距研究应用现状[J].测绘科学,2011,36(3):60.

[2] 杨兆飞,司洋.基于FPGA超声波测距系统的改进[J].电子测试,2012,(8):39-41.

[3] 潘松,黄继业等.EDA技术与VerilogHDL[M].北京:清华大学出版社,2010.

Research of ultrasonic ranging based on FPGA

Zhang Quanyu,Su Baolin,Li Huailiang,Sun Peigang
(School of Electrical Engineering,SuiHua university,Heilongjiang SuiHua,152061,China)

For MCU as the core of the control system is running slow distance,noise immunity is low and difficult to improve the measurement accuracy is insufficient,This paper presents an FPGA as the control center,echo detection method based on ultrasonic ranging system,mainly by ultrasonic ranging module,FPGA module and temperature measurement circuit modules.Experimental results show that:the system is fast, strong anti-jamming capability,high accuracy,avoidance of vehicles to meet user demand level measurement and other occasions.

FPGA;ultrasonic ranging;echo detection

张全禹(1984-),男,黑龙江省双城市人,硕士,实验师,主要研究方向为电路与系统设计。

绥化学院2013年科学技术研究资助项目(K1302002)

猜你喜欢
声速检测法测距
浅析GB50325-2020与GB/T18883-2002关于室内环境污染物检测法的区别
类星体的精准测距
基于CECS 02标准中声速修正系数的研究
浅谈超声波测距
T-SPOT.TB检测法和荧光定量PCR检测法在诊断结核病中的应用价值
声速是如何测定的
一种针对噪声不确定性的顽健循环特征检测法
基于模板检测法的指纹图像的细节特征提取
跨声速风洞全模颤振试验技术
机翼跨声速抖振研究进展