Cheng 计算系统电源管理研究现状

2015-05-30 01:40朱振华高宏
智能计算机与应用 2015年4期
关键词:研究现状

朱振华 高宏

摘 要: 随着商业界和学术界的需求增长,传统的计算系统的发展主要集中于计算性能的提升。然而,计算系统与日俱增的能量消耗,导致了的巨额电费和二氧化碳排放,使其逐渐成为计算系统发展的一大瓶颈。通过对国内外计算系统电源管理技术领域的应用和研究进行分析,总结了硬件、操作系统、虚拟化以及数据中心等各个层次上的电源管理研究的进展与核心技术,最后对全文进行了总结,阐述了电源管理技术研究在计算系统中的重要意义以及发展前景。

关键词: 计算系统;电源管理;研究现状

中图分类号: TP391 文献标志码: A 文章编号:2095-2163(2015)04-

A Survey on Power Management of Computing System

ZHU Zhenhua, GAO Hong

(School of Computer Science and Technology, Harbin Institute of Technology, Harbin 150001, China)

Abstract: Driven by the demand of applications from both business and scientific domains, the development of computing systems has been traditionally focused on improving performance. However, the increasing energy consumption from computing system, leading to huge electricity bills and carbon dioxide emission, is becoming one of the biggest bottleneck for performance growth. This paper analyzes the application and research from perspective of the power management technologies, and summarizes the research status and core technologies of computing systems covering hardware, operating system, virtualization, and data center levels. Finally, the paper concludes the contents of this research, which gives out the significance and prospect of Power Management of Computing System in computer system.

Keywords: Computing System; Power Management; Survey

0 引 言

随着微电子技术依循摩尔定律的快速发展,云计算与大数据技术得以爆炸性地扩散,从而印发世界各地相机建立了包含有成千上万节点的大规模数据中心,由此彻底改变了信息和通信技术行业的进化格局。然而,大规模数据中心能耗量的大幅增长以及相伴相生的大量二氧化碳排放,即已成为供电系统和生态系统的重大问题。根据美国环保署统计报告,2006年,美国数据中心耗电累计610亿度,占全美总量的1.5%,电费约为45亿美元,超过美国所有电视机耗电量的总和[1]。另据研究表明,从2005~2010年全球的数据中心的能源消耗增长了56%,而在2010年中仅数据中心就消耗了全球总电力资源的1.1%~1.5%[2],而且这种快速增长趋势仍然在持续。为此,展开有关低功耗、低排放、可持续发展的新型计算系统、模型和应用方面的研究,即对信息与通信技术领域的未来发展有着重大意义。

1 电源管理技术分类

目前,国内外学者对计算系统的能耗问题也即电源管理问题,做了大量的研究。从高层次上来划分,电源管理技术可以分为静态电源管理技术和动态电源管理技术两大类。其中,静态电源管理将设备的工作模式和运行状态都设置为固定的工作模式,并认为之后再也不发生变化,是在电器件研发初期按照设备功能要求相应的设置静态功耗管理策略。而动态电源管理技术则是根据系统实时的运行状态对系统资源的利用进行动态的调节,以使系统的资源利用率与系统的负载达到最佳拟合。静态电源管理技术简单稳定但是粒度较粗,并且固定的工作模式也缺乏灵活性,而动态电源管理技术则能够很好地适应系统运行状态变化,在降低功耗上获得了更佳效果。这两类电源管理技术现已成为当前计算系统中降低功耗的重要技术。

2 静态电源管理技术

静态电源管理技术往往与硬件底层密切相关,其中囊括了电路层次、逻辑层次、架构层次等多层次的硬件设计优化技术[3,4]。具体地,电路层的节能优化和逻辑层的节能优化均是依靠芯片层面上的优化技术得以实现,而其主要就是通过优化复杂的门电路设计和晶体管尺寸以减少芯片中电路状态切换的电能消耗。两者之间的不同之处就分别在于,电路层的优化减少的是单个逻辑门电路和晶体管级组合电路的能量消耗;而逻辑层的优化,减少的却是逻辑电路和时序电路的能量消耗。另外,架构层的节能优化则包括了芯片的设计和相关功耗优化技术,如不对称多核设计、寄存器层次的功耗优化等。

英特尔处理器便从逻辑设计和体系架构设计上进行了降低功耗的诸多优化[5],如:

(1)Micro-Op Fusion:采用操作融合技术,实现两个操作、一次执行。

(2)Dedicated Stack Manager: 通过设置硬件堆栈管理器,可以明显减少堆栈管理的微操作数,达到减低功耗的目的。

静态电源管理技术由于涉及到最底层电子元器件,且控制过程简单而粗略、工作模式固定以及无动态性和实时性等缺点,而使其远未获得如动态电源管理技术一般的大范围研究。Qyan G等采用静态电源管理方法实现了对固定优先级实时系统的电压控制[6]。Shin Y实现了固定优先级硬件系统的静态电源管理[7]。

3 动态电源管理技术

动态电源管理技术由于对硬件的依赖性没那么大,较之静态电源管理技术有着较为广泛而深入的研究。动态电源管理技术的核心思想是根据系统的实时状态来自适应地调节系统资源的使用从而达到减少不必要的能耗的目的,其前提便是硬件支持这种自适应的调整。动态电源管理技术又可以细分为硬件级、操作系统级、虚拟化级以及数据中心级等从微观到宏观多个层次的功耗管理技术。对每一层次的功能实现解析则可做如下论述。

3.1 硬件级动态电源管理

硬件级的动态电源管理技术因不同的硬件而异,但通常可以分为两类DPS(Dynamic Performance Scaling)和DCD(Dynamic Component Deactivation)。有关DPS技术,其核心思想是根据实时的需求来动态自适应地调整硬件部件的性能。最为著名的例子便是DVFS(Dynamic Voltage and Frequency Scaling)技术,就是通过动态地调节CPU的频率和电压,从而达到节能的目的。现代CPU的复杂架构(如流水线技术、多层缓存等)使得DVFS技术很难选择合适的频率以最佳满足当前的性能需求,换而言之就是很难在性能和功耗之间寻找合适的平衡点,文献[8-11]即对这方面进行了系统而深入的研究。

当硬件部件并不支持动态调节性能时,则主要通过DCD技术来实现节能。DCD技术的核心思想是通过时钟闸控技术来降低电子部件的功耗,或者干脆关闭或者休眠不在工作的硬件部件以降低能耗。然而,硬件部件不同状态的切换一方面会导致硬件部件的延迟,从而降低系统的性能,另一方面硬件部件在状态切换的过程还会由于重新初始化而产生额外的能量消耗。进一步地,DCD策略还可细分为超时策略(Time-Out Policies)、基于预测的优化策略(Predictive Policies)和基于随机过程的优化策略(Stochastic Policies)。在此,针对这一分类,给出相关简介如下。

第一,超时策略[12-14]是最简单通用的策略。如果部件空闲时间超过预定时间,则该策略认为未来空闲时间将大于部件的平均能耗时间,从而设置系统为低功耗状态。超时策略的主要不足是等待超时过程中将增加能耗,唤醒部件时则会造成系统部件响应延迟。

其次,基于预测的优化策略[15-16]是启发式方法,假设系统部件访问在时间上存在关联性,未来的空闲时间可以通过历史信息进行估算。为此,如何提高部件空闲时间预测的准确度将是这类研究面临的主要问题。

最后,基于随机过程的优化策略[17]是通过较高层次数学抽象建立系统的概率模型,能够解决启发式方法遇到的最优化问题。

3.2 操作系统级动态电源管理

操作系统发挥着管理系统资源,为用户提供资源访问接口的角色作用。操作系统级的动态电源管理需要操作系统设计者在对物理资源和逻辑资源进行抽象和管理时,还要把电源管理机制和优化策略考虑进去。操作系统级的电源管理优化策略主要从以下几个方面开展与实施:

(1)应用程序的适应性:操作系统采用电源管理策略,应用程序能否不再修改即可运行。

(2)系统资源:优化策略是针对单个系统资源,如CPU,还是针对多个系统资源。

(3)目标系统:是针对通用的系统的优化,还是针对特殊的如移动设备或者服务器的系统的优化。

(4)优化目标:是在性能约束条件下最小化功耗,还是为了满足功耗预算。

(5)节能技术:是DPS技术,还是DCD技术,或者是资源节流。

(6)工作负载:是简单的计算任务,还是复杂的计算任务。

操作系统级的主要研究成果列举如表1所示。

3.3 虚拟化级动态电源管理

虚拟化级的动态电源管理主要通过VMM(Virtual Machine Monitor)来实现,具体主要有两种方式参与能耗的管理[18],可将其表述为:

(1)VMM自身作为一个能耗感知的操作系统,对系统整体性能进行监控,并利用DVFS等技术降低系统部件的能耗;

(2)依靠操作系统特定的能耗管理策略和应用程序信息,把不同虚拟机的能耗管理操作映射到硬件功耗状态真实改变上。VMM提供按需能耗管理机制,即支持基于ACPI(Advanced Configuration and Power Management Interface)的能耗管理机制,系统间隔性地监控CPU利用率,生成一个独立于平台的命令来改变硬件的功率状态。

目前最为流行的3款虚拟机解决方案分别为Xen hypervisor[19],VMware solutions和KVM(Kernel-based Virtual Machine)[22]。分别地,Xen支持并实现了ACPI的P状态[20],此外,还支持C状态,即CPU睡眠状态。Xen类似于Linux的电源管理子系统,也包含4个管理器:按需管理器、用户空间管理器、性能管理器和节能管理器。文献[21]则研究了基于Xen上的在线虚拟机迁移技术对能耗的影响。KVM与Xen不同之处则在于其能耗管理机制支持的是S4,S3状态,但却也与Xen一样支持基于在线迁移整合的能耗管理机制。最后的VMware EXS Server和VMware ESXi都是企业级的虚拟化解决方案,与Xen类似地,Vmware也支持ACPI的P状态[23],但不支持C状态。Vmware提供VMotion和DRS(Distributed Resource Scheduler)技术可以实现基于在线迁移的能耗管理机制[24]。其中的DRS包含一个专门的功耗管理子模块VMware DPM(Distributed Power Management)用来动态关闭空闲服务器,从而减少能量的消耗。

表1 操作系统级研究

Tab.1 Operating system level research

项目名称 系统资源 目标系统 优化目标 节能技术

ECOsystem[25] CPU、内存、磁盘、网络 移动系统 满足目标电池寿命 资源节流

Nemesis[26] CPU、内存、磁盘、网络 移动系统 满足目标电池寿命 资源节流

GRACE[27] CPU、网络 移动系统 性能约束下最小化能耗 DVFS,资源节流

Linux/RK[28] CPU 服务器系统 性能约束下最小化能耗 DVFS

PowerNap[29] CPU、内存、磁盘、网络 服务器系统 最小化功率、最小化性能损失 DCD

表2 数据中心级研究

Tab.2 Data center level research

机制名称 系统资源 实现平台 优化目标 节能技术

VirtualPower[30] CPU Xen 性能约束下最小化能耗 硬伸缩,软伸缩,整合/迁移

VPM Token[31] CPU Xen 维持能耗约束 VM感知的能耗预算

pMapper[32] CPU、网络 VMware ESX 性能约束下最小化能耗 整合,服务器功率切换

Energy-Efficient Provisioning[33] CPU CloudSim 性能约束下最小化能耗 DVFS,预测方法

Energy-Efficient Scheduling [34] 内存 Xen,MPSim 减少内存消耗 内存感知的VM调度

GreenHDFS[35] 文件系统 Hadoop 降低系统能耗 Cold zone能耗管理

3.4 数据中心级动态电源管理

数据中心级的动态电源管理技术致力于通过整合工作负载到更少的物理服务器上从而将空闲的服务器休眠或关闭,以提升整个集群的资源利用率,同时降低功率和能量消耗。然而,负载的整合和空闲服务器的关闭将不可避免地会对计算性能造成一定的影响,因此如何平衡这两者至关重要。针对这方面已有诸多研究,概括而言主要从以下几点来进行关注考虑:

(1)虚拟化:优化机制是否利用了虚拟化技术;

(2)系统资源:优化策略是针对单个系统资源,如CPU,还是针对多个系统资源;

(3)目标系统:优化的目标系统是同构的还是异构的;

(4)优化目标:是在性能约束条件下最小化功耗还是为了满足功耗预算;

(5)节能技术:采用DPS技术,或是DCD技术,还是基于负载或者虚拟机的整合,或者是功率切换;

(6)工作负载:是简单的计算任务,还是复杂的计算任务;

(7)资源管理算法:资源管理系统是采用集中式的管理算法,还是分布式的管理算法。

数据中心级的动态电源管理技术的主要研究成果列举如表2所示。

4 结束语

能耗效率已然成为了现代计算系统研发设计的一个重要组成部分。云计算和数据中心发展带来的巨额能耗开销以及与日俱增的二氧化碳排放,即使得能耗管理技术日显重要,不可或缺。由此,能耗管理技术从单个服务器节点到数据中心和云,从微观硬件设计到宏观上的云计算和数据中心的设计,均已得到了长足、可观的深入发展。

本文从能耗管理技术的硬件级、操作系统级、虚拟化级以及数据中心级等各个层次总结了当前的研究成果和核心技术。从中可以看出,计算资源的智能管理对能耗的降低有着不可忽视的重要作用。DPS和DCD技术为其提供了底层硬件上的支持,而操作系统作为连接软硬件之间的桥梁,操作系统层面的能耗管理研究即使得硬件的节能技术得以全面发挥。虚拟化的研究则是更涉长远范围的研究,利用负载整合和虚拟机整合技术,在提高计算资源的利用率的同时,保证了性能的优越和容错率的优良。多种多样的整合技术和算法则使得部分资源得以充分的使用,而剩下的空闲资源将转为低功耗状态,达到降低能耗的目的。

如同提升计算系统性能的需求不断推动软件件性能优化技术和评测工具的快速发展一样,降低计算系统能耗的需求也必然推动软硬件电源管理技术和测评技术的发展,这一研究实现既符合企业节能减排的现实利益,也是可持续发展的科学发展观的理想诉求。

5参考文献

[1] HOOPER A. Green computing[J]. Communication of the ACM, 2008, 51(10): 11-13.

[2] KOOMEY J. Growth in data center electricity use 2005 to 2010[J]. A report by Analytical Press, completed at the request of The New York Times, 2011,1: 2010-2018.

[3] DEVADAS S, MALIK S. A survey of optimization techniques targeting low power VLSI circuits[C]//Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, New York: ACM, 1995: 242-247.

[4] VENKATACHALAM V, FRANZ M. Power reduction techniques for microprocessor systems[J]. ACM Computing Surveys (CSUR), 2005, 37(3): 195-237.

[5] WECHSLER O. Inside Intel? Core? microarchitecture: Setting new standards for energy-efficient performance[J]. Technology, 2006,3: 1-11.

[6] QUAN G, HU X S. Minimal energy fixed-priority scheduling for variable voltage processors[J]. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 2003, 22(8): 1062-1071.

[7] SHIN Y, CHOI K. Power conscious fixed priority scheduling for hard real-time systems[C]//Design Automation Conference, 1999. Proceedings. 36th ,New Orleans, LA: IEEE, 1999: 134-139.

[8] BARROSO L A, HOLZLE U. The case for energy-proportional computing[J]. IEEE computer, 2007, 40(12): 33-37.

[9] ANDREW L L H, LIN M, WIERMAN A. Optimality, fairness, and robustness in speed scaling designs[C]//ACM SIGMETRICS Performance Evaluation Review, New York: ACM, 2010, 38(1): 37-48.

[10] BUTTAZZO G C. Scalable applications for energy-aware processors[C]//Embedded Software, Berlin Heidelberg:Springer , 2002: 153-165.

[11] VENKATACHALAM V, FRANZ M. Power reduction techniques for microprocessor systems[J]. ACM Computing Surveys (CSUR), 2005, 37(3): 195-237.

[12] HAN D R , GUPTA R. System level online power management algorit hms [ C]//Conf on Design , Automation and Test in Europe , Paris , France:IEEE, 2000.

[13] KRISHNAN P , LONG P , VITTER J. Adaptive disk spindown via optimal rent2to2buy in probabilistic environment s [C]// Proc of Intl Conf on Machine Learning, East Sussex : Omni Press , 1995: 322-330.

[14] HELMBOLD D , LONG D , SHERROD E. Dynamic disk spin2down technique for mobile computing [ C]//Proc of IEEE Conf on Mobile Computing, Los Alamitos : IEEE Computer Society Press , 1996: 130-142.

[15] SRIVASTAVA M , CHANDRAKASAN A , BRODERSEN R. Predictive system shutdown and ot her architectural techniques for energy efficient programmable computation [J] . IEEE Trans on VL SI System , Los Alamitos : IEEE Computer Society Press , 1996 , 4 (1) : 42-55.

[16] HUANG C, WU A. A predictive system shutdown met hod for energy saving of event2driven computation [ C]// Proc of Intl Conf on Computer2Aided Design, Los Alamitos : IEEE Computer Society Press , 1997: 28-32.

[17] CHUNG E , BENINI L, BOGLIOLO A, et al . Dynamic power management for nonstationary service request s [ C]//Proc of Design and Test in Europe Conf, Los Alamitos : IEEE Computer Society Press , 1999: 77-81

[18] BELOGLAZOV A, BUYYA R, LEE Y C, et al. A taxonomy and survey of energy-efficient data centers and cloud computing systems[J]. Advances in computers, 2011, 82(2): 47-111.

[19] BARHAM P, DRAGOVIC B, FRASER K, et al. Xen and the art of virtualization[J]. ACM SIGOPS Operating Systems Review, 2003, 37(5): 164-177.

[20] WEI G, LIU J, XU J, et al. The on-going evolutions of power management in Xen[R]. Xen Summit:Inter Corporation, 2009.

[21] LEFEVRE L, ORGERIE A C. Designing and evaluating an energy efficient cloud[J]. The Journal of Supercomputing, 2010, 51(3): 352-373.

[22] KIVITY A, KAMAY Y, LAOR D, et al. kvm: the Linux virtual machine monitor[C]//Proceedings of the Linux Symposium. Citeseer, Ottawa Ontario, Canada:[s.n.] :2007, 1: 225-230.

[23] VMware Inc., vSphere resource management guide[R].http://www.vmware.com/support/pubs., 2009.

[24] GULATI A, HOLLER A, JI M, et al. Vmware distributed resource management: Design, implementation, and lessons learned[J]. VMware Technical Journal, 2012, 1(1): 45-64.

[25] ZENG H, ELLIS C S, LEBECK A R. Experiences in managing energy with ecosystem[J]. Pervasive Computing, IEEE, 2005, 4(1): 62-68.

[26] NEUGEBAUER R, MCAULEY D. Energy is just another resource: Energy accounting and energy pricing in the Nemesis OS[C]//Hot Topics in Operating Systems, 2001. Proceedings of the Eighth Workshop on, Schloss Elmau, Germany: IEEE, 2001: 67-72.

[27] VARDHAN V, SACHS D G, YUAN W, et al. GRACE: a hierarchical adaptation framework for saving energy[J]. Computer Science, University of Illinois Technical Report UIUCDCS-R-2004, 2004, 2409.

[28] RAJKUMAR R, JUVVA K, MOLANO A, et al. Resource kernels: A resource-centric approach to real-time and multimedia systems[C]//Photonics West'98 Electronic Imaging, Bellingham, Washington: IS OP, 1997: 150-164.

[29] MEISNER D, GOLD B T, WENISCH T F. PowerNap: eliminating server idle power[C]//ACM Sigplan Notices, Washington D.C.: ACM, 2009, 44(3): 205-216.

[30] NATHUJI R, SCHWAN K. VirtualPower: coordinated power management in virtualized enterprise systems[C]//ACM SIGOPS Operating Systems Review. Stevenson, WA: ACM, 2007, 41(6): 265-278.

[31] NATHUJI R, SCHWAN K, SOMANI A, et al. VPM tokens: virtual machine-aware power budgeting in datacenters[J]. Cluster computing, 2009, 12(2): 189-203.

[32] VERMA A, AHUJA P, NEOGI A. pMapper: power and migration cost aware application placement in virtualized systems[M]//Middleware 2008,Berlin Heidelberg:Springer, 2008: 243-264.

[33] SHI Y, JIANG X, YE K. An energy-efficient scheme for cloud resource provisioning based on cloudsim[C]//Cluster Computing (CLUSTER), 2011 IEEE International Conference on. Austin, TX : IEEE, 2011: 595-599.

[34] JANG J W, JEON M, KIM H S, et al. Energy reduction in consolidated servers through memory-aware virtual machine scheduling[J]. Computers, IEEE Transactions on, 2011, 60(4): 552-564.

[35] KAUSHIK R T, BHYANDARKAR M. Greenhdfs: towards an energy-conserving, storage-efficient, hybrid hadoop compute cluster[C]//Proceedings of the USENIX Annual Technical Conference, Boston, MA : USENIX,2010: 109.

猜你喜欢
研究现状
高地应力判释技术研究现状分析
人力资源管理专业实践教学的研究现状与改革思路
海参人工育苗产业的现状及展望
国内外约翰·斯坦贝克文学伦理学研究综述
董榕及其剧作研究述论
试井法分析井间注采关系的研究现状
人民检察院量刑建议制度构建与完善
我国环境会计研究回顾与展望
浅析电力系统谐波及其研究现状
创新人才培养理论研究现状及未来研究方向