DDS信号源设计

2020-06-15 22:01雷宇婷任童卿王浩孙伟琦
科海故事博览·中旬刊 2020年4期
关键词:信号源低功耗

雷宇婷 任童 卿王浩 孙伟琦

摘 要 信号源是科学实验和工程界最常用的测试测量仪器之一 ,广泛应用于通信、雷达和军事等领域,对各种高性能信号源研究至今仍然是仪器行业中的热点 。而数字化直接频率合成技术 ,即DDS,其频率分辨率高、频率响应速度快及相位连续等优点,广泛应用于高性能信号源的研究中,已有DDS技术的波形发生器陆续被研制、生产和投入应用,可以说直接数字频率合成的兴起也标志着第三代频率合成技术的形成。本课题是设计一个DDS信号源发生器,通过对电路的调节使其的频率在可控的范围之内按照规定的步进进行调节来实现课程设计的要求[1]。

关键词 DDS 信号源 低功耗

中图分类号:TN742.1;TP391 文献标识码:A 文章编号:1007-0745(2020)04-0015-07

1 前言

在现代雷达、通信、宇航、仪表、电视广播,遥控遥测和电子对抗等系统中,一个能在一定频率范围内提供一系列高准确度和高稳定度的信号频率源有着广泛的应用价值,同时也是众多应用电子系统实现高性能的关键因素之一。随着应用频率和精度要求的不断提高,传统的晶体振荡器直接输出频率不能满足要求。

1.1 设计背景

传统的晶体振荡器直接输出频率不能满足要求,因此大量的频率合成(FS)技术得以广泛应用。频率合成通过对一个或多个高稳定度和精准度的参考频率源进行加、减、乘、除运算得到所需的频率。频率合成(FS)的方法按其工作模式可以分为:模拟合成和数字合成两种;按其实现的手段可以大致分为:直接合成和锁相环合成两种。

由于DDS频率转换速度快,频率分辨率高,以及在频率转换时可保持相位的连续,易于实现多种调制功能,全数字化,可编程,易于微处理器控制,易于单片集成,体积小,价格低,功耗小,生产一致性好,因此,近30年来,随着超大规模集成(Very Large Scale Integration,简称:VLSI)、复杂可编程逻辑器件(Complex Programmable Logic Device,简称:CPLD)、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)等技术的出现以及对DDS理论的进一步探讨,使得DDS得到了飞速的发展[2]。广泛应用于电子测量、调频通信、电子对抗等领域。近年来,已有DDS技术的波形发生器陆续被研制、生产和投入应用,可以说直接数字频率合成的兴起也标志着第三代频率合成技术的形成。

1.2 设计目标

设计一个DDS信号源发生器,有频率增(UP)和频率减(DOWN)两个键,按UP时频率步进增加,按DOWN时频率步进减小,通过对电路的调节使其的频率在可控的范围之内按照规定的步骤进行调节来实现。要求:1. 输出信号的频率范围为100Hz~1500Hz,步进为100Hz。扩大输出信号的频率范围为25Hz~1575Hz,步进为25Hz。2. 输出信号无明显失真。

1.3 实施计划

(1)了解相关内容,筛选并确定课程设计题目;

(2)收集相关资料,消化吸收所需要的知识;

(3)确定设计思路,整理出不同方案,分析各个方案的可行性;

(4)画出系统的流程图,选择相应元器件,确定参数;

(5)按照流程图分别设计出各个单元电路,并对相应的模块分别测试对出现的问题进行分析,调试;

(6)将各个单元模块连接在一起整体调试。

1.4 必备条件

(1)高性能计算机;

(2)数字电路基本知识(了解并掌握可逆计数器、加法器、锁存器、累加器等);

(3)模拟电路的基本知识;

(4)Proteus的使用方法。

参考元器件:74LS283、74HC574、AT28C16、DAC0832/ AD7520、NE5532/TL082。

2 总体方案设计

通过查阅大量相关技术资料,并结合自己的实际知识,我主要提出了两种技术方案来实现系统功能。下面我分析这两种方案它们的特点,然后阐述我最终选择方案的原因。

2.1 方案比较

2.1.1 方案一

用单片机来控制和实现DDS信号源的设计,用单片机和外围开关电路作频率控制字和相位累加器,并用单片机的输出对ROM进行访问,而读出ROM的正弦函数表中的数据,在将ROM中读出的数据进行D/A转换,输出模拟量,模拟量通过低通滤波器滤波后输出随需的正弦波。

2.1.2 方案二

多谐振荡器电路产生脉冲信号,通过不同频率的相位累加器来查函数表ROM 来实现函数的输出,相位累加器是以频率控制字的大小为基准进行累加,再由相位累加器的输出对函数表ROM进行查表,查表所得的结果将输出送到D/A转换器,经D/A 转换器输出梯形波形,之后经过低通滤波器进行滤波则可得到所需频率的正弦信號波。

2.2 方案论证

方案一采用89C51单片机为核心实现,单片机处理速度使DDS的频率范围非常有限,加上单片机本身端口较少,对于一个外部频率选择键盘输入、ROM地址查表输出以及LED数码管显示的系统来说,端口资源变得非常紧张。而且我现在也才刚开始学习单片机对单片机的一些基本知识了解的不够。

方案二采用可逆计数器、加法器、锁存器、累加器等传统的硬件电路组合来实现,可以锻炼我对数模电知识的掌握能力,学以致用。但是其可靠性差、灵活性小、线路复杂容易出错、结果精确度也不高。

2.3 方案选择

考虑到这次课程设计是对数模电知识及上机能力结合的一次考验和检测,对于方案一我现在也才刚开始学习单片机对单片机的一些基本知识了解的不够。方案二可以锻炼我对数模电知识的掌握能力,学以致用。我对于硬件电路组合相对比较熟悉,于是我选择方案二。

3 单元模块设计

本节主要介绍系统各单元模块的具体功能、电路结构、工作原理、以及各个单元模块之间的联接关系;同时本节也会对相关电路中的参数计算、元器件选择以及核心器件进行必要说明。

3.1 各单元模块功能介绍及电路设计

本系统主要分为四个模块,他们分别是:频率控制字产生电路、低通滤波电路、时钟脉冲产生电路和消抖电路四大部分组成,各单元模块功能及相关元器件的具体说明如下。

3.1.1 频率控制字产生电路

使用十六进制可逆计数器74HC193产生0~15的频率控制字,预置数的四个脚(15、1、10、9脚)统一置低电平(接地),预置数脚(11脚)接高电平,计数增和计数减(5脚和4脚)分别接两个按键,复位端(14脚)接复位电路,输出端(3、2、6、7脚)接到下一级电路,进位和借位端(12脚和13脚)不接。此电路可以通过按键来使输出端产生想要的频率关键字。

3.1.2 低通滤波电路

低通滤波电路因为运放输出的信号中含有许多噪声信号,我们可以采用低通滤波器来滤除输出信号中的高频分量。对D/A转换器输出的阶梯波S(t)进行频谱分析可知,S(t)中除了主频f0外,还存在fc,2fc,兩边的f0处的非谐波分量,幅值包括为辛格函数。因此必须在D/A转换器的输出端接频率为fc/2的低通滤波器,即可取出主频f0。

3.1.3 时钟脉冲产生电路

由555定时器和外接元件R5、R6和C构成的多谐振荡器,2脚与6脚直接相连,电路没有稳态,只有两个暂稳态,电路也不需要外加触发信号,利用电源通过R5、R6向电容C充电,使电路产生震荡,电容在1/3VCC和2/3VCC之间充电和放电,形成时钟脉冲电路[3]。NE555组成的多谐振荡器电路产生一个时钟信号如图1所示。

由于频率要求在100HZ到1500HZ之间,而ROM函数表中放了256个正弦函数数据,故NE555和电阻电容组成的应为频率为25.6KHZ的脉冲电路,此脉冲电路给74HC574脉冲,来实现相位累加,而相位累加器中每次加的数字由计数器的输出结果控制,故计数器的输出结果不同,最后输出的波形频率也会不同,从而实现了频率的控制则:

电路中给定C4用陶瓷电容102,C5用陶瓷电容103,需要的输出方波的频率为f=25.6KHZ,则:

需要占空比为q=50%的方波,即R6=R5

可以推得:

3.1.4 消抖电路

由于按键存在机械抖动,导致电平出现抖动从而使计数器的计数出现抖动跳变[4],多以需要对按键加上消抖电路。电阻R3、R4以及C1、C2来形成消抖的基本电路,电阻的阻值确定在几百欧就可以,如图2所示。

3.2 DDS原理及元器件选择

DDS的基本原理采用74HC193可逆加减计数器,用它的输出结果作为加法器74HC283的一路加法项,将74H C283的输出结果送到寄存器74HC574,再把74HC574的输出结果一路送到加法器的另一路加法项,与74HC283组成相位累加器,一路送到ROM的地址单元对ROM函数表进行访问,读出ROM表中的函数值,将函数值送到DA0832进行D/A转换,是数字信号转换模拟信号,最后经过有TL082和电阻电容组成的低通滤波输出正弦波。

3.2.1 DDS基本原理

74HC193是输出作为频率控制字模块。两片74HC283和一片74HC574组成的部分作为相位累加器,构成相位累加器的模块。ROM则是存储正弦函数,构成ROM函数表模块。DAC0832则是D/A转换,而构成D/A转换模块。TL082和相关的电阻电容作为低通滤波器对输出进行滤波,而构成滤波模块,其总体设计原理方框图如图3所示。

图中相位累加器可在每一个时钟周期来临时将频率控制字所决定的相位增量M累加一次,如果记数大于,则自动溢出,而只保留后面的N位数字于累加器中。正弦查询表ROM用于实现从相位累加器输出的相位值到正弦幅度值的转换[5],然后送到DAC中将正弦幅度值的数字量转变为模拟量,最后通过滤波器输出一个很纯净的正弦波信号。

由于相位累加器是N比特的模2加法器,正弦查询表ROM中存储一个周期的正弦波幅度量化数据,所以频率控制字M取最小值1时,每个2n时钟周期输出一个周期的正弦波。所以此时有:

式中f0为输出信号的频率,fc为时钟频率,n为累加器的位数。

更一般的情况,频率控制字是m时,每2n /m个时钟周期输出一个周期的正弦波。所以此时有:

式中f0为输出信号的频率,fc为时钟频率,n为累加器的位数,m为频率控制字。这个是DDS系统最基本的公式之一。由此可以得出输出信号的最小频率(分辨率)为:

输出信号的最大频率为:

DAC 每信号周期输出的最少点数为:

当 N 比较大时,对于很大范围内的 M 值,DDS系统都可以在一个周期内输出足够的点,保证输出波形失真很小。

3.2.2 器件选择

(1)需要产生15个不同的频率控制字,则选用16可逆计数器74HC193

(2)相位累加器采用加法器与寄存器结合的方式,选用市面常用的74HC283两片级联成八位加法器,寄存器选用8为寄存器74HC574。

(3)存放正弦函数表采用可电擦除的E2PROM,本次选用AT28C64。

(4)D/A转化器采用市面常见的DAC0832。同时选用与DAC0832配合使用的运算放大器TL082。

3.3 特殊器件的介绍

3.3.1 相位累加器及锁存器

如图4,此电路中的74HC283为四位二进制超前进位全加器[6],不能满足设计要求,可以通过两片组合成八位加法器,如图把U2的进位端输出(9脚)接到U3的进位输入端(7脚)即可。U2的A输入端接上级电路的四位输出,U3的A输入端接低电平。两片分别四位输出组合成八位输出接到八位寄存器74HC574的输入端,再从寄存器的八位输出端反接回来到U2和U3的B输入端,这样就形成了相位累加的过其中可以通过寄存器74HC574的时钟控制端(11脚)的频率来控制累加的快慢。此时可以在寄存器的输出端的各个管脚上测得不同频率的方波。

3.3.2 正弦函数表

ROM中存放的是正弦函数的数据表,由前面的相位累加器的输出的一路送给了ROM,每一个脉冲对ROM进行一次访问,并完成一次输出,其输出为数字量,这些数字量的不同组成了正弦函数表,其函数表内存放了256个正弦函数点,这些点是按照一个周期内正弦波平分成256个角度计算得出。利用编码器在存储器芯片里烧制程序。所烧制的程序不同,产生的波形好坏有分,选取尽可能多的点采样。输出的波形就会越好[7]。

查表法指的是将0到90度对应的正弦值存储到内存中,当输入角度后,程序按照用户输入的角度查找表中相应的正弦值。由于输出频率范围100HZ~1500HZ,且进步为100HZ,再由前面的相位累加器的位宽为8位,即A=8,所以应该将一个周期的正弦波分解成28个点,即256。28C64存储芯片中存放了256个点,28C64的输出是8位的数字量,即原理框图中的D=8,这8位数字表示的是ROM中存放的数据被访问时的输出大小,设D为离散正弦函数值,则这些点的计算步骤如下:

存放正弦函数表的器件选择可电擦除的E2PROM[8],它为存储容量: 64 KB。共十六位输入,去其低八位作为相位寻址线,共给片内写入256个正弦函数点供气查询输出。其他脚接低电平。

3.3.3 运用D/A转换器

如图6,D/A转换选择的是通用的DAC0832,并采用其经典接法与运放相接,其中八位数字量输入端接上级E2PROM的八位输出,IOUT1和IOUT2接运算放大器将电流量转换成电压量,最终从运放的1脚输出。D/A转换器的作用是把合成的正弦数字量转换成模拟量。正弦幅度S(n)经过D/A转换器后变为包络为正弦波的阶梯波S(t)。需要注意的是,器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合S(t)台阶数就越多,输出的波形精确度就越高。最后可以测得正弦波。

4 系统调试

运用计算机使用Proteus对电路进行了仿真调试即仿真软件的介绍。

4.1 调试环境

Proteus软件是Lab Center Electronics公司出版的EDA工具软件,不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是比较好的仿真单片机及外围器件的工具。虽然国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。

Proteus软件具有其它EDA工具软件(例:multisim)的功能。这些功能是:原理布图、PCB自动或人工布线和SPICE电路仿真,在其绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在其的原理图中看到模拟的实物运行状态和过程。是单片机课堂教学的先进助手。不仅可将许多单片机实例功能形象化,也可将许多单片机实例运行过程形象化。前者可在相当程度上得到实物演示实验的效果,后者则是实物演示实验难以达到的效果。它的元器件、连接线路等却和传统的单片机实验硬件高度对应。这在相当程度上替代了传统的单片机实验教学的功能,例:元器件选择、电路连接、电路检测、电路修改、软件调试、运行结果等。课程设计、毕业设计是学生走向就业的重要实践环节。由于其提供了实验室无法相比的大量的元器件库,提供了修改电路设计的灵活性、提供了实验室在数量、质量上难以相比的虚拟仪器、仪表,因而也提供了培养学生实践精神、创造精神的平台。随着科技的发展,“计算机仿真技术”已成为许多设计部门重要的前期设计手段。它具有设计灵活,结果、过程的统一的特点。可使设计时间大为缩短、耗资大为减少,也可降低工程制造的风险。相信在单片机开发应用中Proteus也能茯得愈来愈广泛的应用。

使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。因此,Proteus 有较高的推广利用价值。

4.2 调试

4.2.1 運用Proteus仿真

在Proteus中的仿真结果如图7所示, 由上图结果显示说明了方案三的可行性和正确性,且也说明数字直接合成技术在信号源的设计上可以使用。仿真结果说明用可逆计数器频率控制字,用加法器和锁存器及脉冲电路构成的相位累加器,用ROM和后面的D/A转换电路及滤波电路作为输出部分来实现DDS信号源的设计是可行的。

(1)输出的最低频率可以达到100KZ,最该频率可以达到1500HZ,它们的幅度可在2.5v-3v之间。

(2)频率的步进为100HZ当UP键按下时频率加100HZ,当DOWN键按下时频率减100HZ,复位键按下时频率为0HZ。

(3)电路实测效果良好,达到设计要求,输出的波形无明显的失真

4.2.2 注意事项

输出的波形无明显的失真,但输出的波形会有一点毛刺现象,以及在频率范围内,频率的稳定度比较低,而且频率较大时会出现失真现象,这与取样点的选取有关,而且制作的脉冲电路产生的频率也不是很稳定,而使得输出频率会有微小的波动,但可以忽略。

这个设计方案原理比较简单,元器件不太多,实现起来也不算太难。布线如果位置不合适会对系统产生干扰。从仿真到实物制作过程中,遇到了很多问题,这些问题也说明了仅仅依靠仿真结果是不可靠的,我们应该通过实物的制作来查找仿真与实际中间的差距以及一些系统因素、人为因素等,来检验设计思路的正确性和完善性。该电路PCB图如图8所示。

5 系统功能及指标参数分析

5.1 系统能实现的功能

多谐振荡器电路产生脉冲信号,通过不同频率的相位累加器来查函数表ROM 来实现函数的输出,相位累加器是以频率控制字的大小为基准进行累加,再由相位累加器的输出对函数表ROM进行查表,查表所得的结果将输出送到D/A转换器,经D/A 转换器输出梯形波形,之后经过低通滤波器进行滤波则可得到所需频率的正弦信号波。

5.2 系统指标参数测试

本次课程设计选用8位的相位寄存器,即N取8。则可以算出响应的参数:

频率控制字为从1~15。

6 结论

系统结果说明用可逆计数器频率控制字,用加法器和锁存器及脉冲电路构成的相位累加器,用ROM和后面的D/A转换电路及滤波电路作为输出部分来基本实现DDS信号源的设计是可以的。

参考文献:

[1] 阎石.数学电子技术基础[M].北京:高等教育出版社,2006.

[2] 马全喜.电子元器件与电子实习[M].北京:机械工业出版社,2006.

[3] 康华光.电子技术基础(模拟部分)[M].北京:高等教育出版社,2006.

[4] 何杜成,袁跃进.电机-光电显示-改进应用电路[M].济南:山东科学技术出版社,2007.

[5] 彭容修.数字电子技术基础[M].武汉:武汉理工大学出版社,2001.

[6] 李志健.数字电子技术基础实验任务书[R].陕西科技大学教务处,2007.

[7] 康华光.电子技术基础—数字部分(第 6 版)[M].北京:高等教育出版社,2014.

[8] 杨刚,周群.电子系统设计与实践[M].北京:电子工业出版社,2004.

(西华大学,四川 成都 610039)

猜你喜欢
信号源低功耗
核芯互联发布高性能ADC模数转换器
莱迪思发布全新低功耗FPGA技术平台
无线广播电视安全优质播出的技术分析
浅析发射机房前段信号源故障
物联网产业离不开低功耗蓝牙通信技术
图像有损压缩与无损压缩的对比分析
一种基于DDS的信号源的设计与实现
基于DDS的同步相位信号源的设计
基于Chirp扩频的超宽带信号传输性能分析
基于FPGA的多功能信号源生成系统设计与实现