EDA在数字频率计中计数模块里的应用①

2010-12-26 06:21彭惠芹
华北科技学院学报 2010年1期
关键词:频率计计数器计数

彭惠芹

(山西大同大学工学院,山西大同 037003)

EDA在数字频率计中计数模块里的应用①

彭惠芹②

(山西大同大学工学院,山西大同 037003)

计数模块是数字频率计系统的核心模块,频率测量的主要工作由它来完成.本文根据数字频率计的特点,在Altera公司的FPGA开发平台QuartusⅡ中实现,同时采用VHDL硬件描述语言,提出了一种实用性较强的计数模块的设计方案.

数字频率计;CPLD技术;计数模块;自顶向下方法;VHDL语言

数字频率计是电子设计、仪器仪表、资源勘测等应用领域不可缺少的测量仪器,许多物理量的测量,如振动、转速等的测量都涉及到或可以转化为频率的测量。

传统的数字频率计一般是由分离元件搭接而成。后来随着单片机的大规模的应用,出现了不少用单片机控制的频率测量系统。相对于以前用分离元件搭接起来的频率测量系统,单片机控制的频率测量系统在频率测量范围、频率测量精度和频率测量速度上都有了很大的提高。但由于单片机工作频率的限制、单片机内部计数器位数的限制等因素,由单片机控制的频率测量系统无法在频率测量范围、频率测量精度和频率测量速度上取得重大突破。若再增加别的器件,以弥补单片机的不足,不仅会大大增加系统的复杂性,而且不利于系统的集成化。

本文所介绍的频率计是在Altera公司的FPGA开发平台QuartusⅡ中实现的,计数器的各个模块采用VHDL语言描述。在一片FPGA里实现了数字频率计的绝大部分功能,它的集成度远远超过了以往的数字频率计。又由于数字频率计最初的实现形式是用硬件描述语言写成的程序,具有通用性和可重用性,所以在外在的条件(如基准频率的提高,基准频率精度的提高)的允许下,只需对源程序作很小的改动,就可以使数字频率计的精度提高几个数量级。同时对于频率精度要求不高的场合,可以修改源程序,使之可以用较小的器件实现,从而降低系统的整体造价。文中重点阐述了数字频率计的总体结构和其中的计数模块的设计方案。

1 EDA技术

EDA即电子设计自动化,它以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程器件的开发软件及实验室开发系统为设计工具,自动完成软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门多学科融合的新技术。

2 CPLD技术

随着EDA技术的不断发展,当今的EDA技术更多的是指芯片内的电路设计自动化,开发人员完全可以通过自己的电路设计来定制芯片内部的电路功能,使之成为设计者专自己的专用集成电路芯片(ASIC),这就是CPLD技术。CPLD即复杂可编程逻辑器件技术,其功能之卓越已经令当今的电子工程师们赞叹不已,除了它体积小、容量大、I/O口丰富、易编程和加密等优点外,更突出的特点是其芯片的在系统可编程技术,即ISP技术,也就是说它不但具有可编程和可再编程的能力,而且只要把器件插在系统内或线路板上,就能对其进行编程或再编程。

3 数字频率计的结构

本文的数字频率计是在EDA实验开发平台上,利用Cyclone芯片EP1C12Q240C8 CPLD进行设计的。

数字频率计系统设计采用了自顶向下(Top_ Down)的设计方法,由三部分组成:输入整形电路、核心控制电路(FPGA模块)和输出显示电路,如图1所示。被测信号经过输入整形电路生成方波输入到FPGA模块中进行计数处理,FPGA中的计数模块根据所提供方波的上升沿或下降沿进行计数,计数时间则由时间控制模块来决定,可以根据频率所处的范围来决定档位,然后将计数的结果输出给显示电路,通过静态或动态扫描方式,在数码管上显示所测频率的大小。本文以3位十进制数字显示的数字式频率计系统为例进行设计。

图1 数字频率计系统

4 计数模块

计数模块是数字频率计系统的核心模块,频率测量的主要工作由它来完成。它通过计数器对被测信号在基准时间内进行计数来测量被测信号的频率。

4.1 计数模块功能

计数器为模999十进制加法计数器,可由量程选择信号控制模999计数器的基本频率(比如100 kHz档的基本频率为10 Hz,即输入10个脉冲时模999计数器计1)。当CLR为高电平时,计数器清零;当CLR为低电平,并且EN为高电平时,允许计数。当计数值大于999时,频率计处于超量程状态,下一次测量时,量程自动增大1档。当计数值小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小1档。

4.2 计数模块部分VHDL程序示例

5 调试结果分析与结论

系统联合调试成功后,将VHDL设计与Nios设计下载到FPGA芯片中,输入相关信号,并进行有关性能指标的测试,直到满足设计要求为止。

经一系列实际数据的测量和分析,本数字频率计基本达到了设计要求,设计思路和方法是正确的,设计也是成功的。

6 结语

本文实现了对数字频率计中的计数模块的设计,在EDA实验开发平台上,利用EP1C12Q240C8 CPLD芯片产生所需的数据,再通过数码管显示输出,实验表明其性能良好。采用这样的设计可以简化硬件的开发和制造过程,而且使硬件体积大大减小,并提高了系统的可靠性。同时在基本电路模块基础上,不必修改硬件电路,通过修改VHDL源程序,增加一些新功能,满足不同用户的需要,实现数字系统硬件的软件化。

[1] 王振义.EWB在电子技术中的应用[J].山西大同大学学报(自然科学版),2007,18(1):104 -110

[2] 阎石.数字电子技术基础[M].北京:高等教育出版社,2001:97-110

[3] 仝庆华.硬件描述语言VHDL教学浅议[J].山西大同大学学报(自然科学版),2007,23 (2):97-99

[4] 曾永西.基于QuartusⅡ的两种数字频率计系统设计与比较[J].福建电脑,2008,6:168 -169

[5] 利剑清,宋跃,林明仁等.基于FPGA/SOPCNiosⅡ的频率计数器设计[J].东莞理工学院学报,2008,15(3):53-57

[6] 孙华锦.基于VHDL语言的电子设计自动化及其应用[D].陕西:西北工业大学,2001

[7] 金琳.基于EDA技术的频率计系统设计[D].黑龙江:吉林大学,2007

[8] 张永安.基于CPLD的多功能等精度数字频率计系统的设计[D].内蒙古:内蒙古大学,2004

[9] 刘明业,叶梅龙,郭玉钗.数字系统自动设计[D].北京:高等教育出版社,1996:25-27

[10] 招雅兴等.FPGA原理、设计及应用[M].天津:天津大学出版社,1999:21-31

The application of EDA on the counter module in D igital frequency counter

PENG Huiqin

(School of Engineering,ShanxiDatongUniversity,Datong Shanxi 037003)

The countermodule is the kernelmodules ofDigital frequency counter.The frequencymeasurement of the major tasks to be completed by it.According toDigital frequency counter’s characteristic,the text is realized on theQuartus IIof FPGA in the companyAltera.Modules usingVHDL language to describe.Proposed one usable strong countermodule design.

Digital frequency counter;EDA technology;countermodule;top-down;VHDL language

TN79+2

A

1672-7169(2010)01-0073-03

2009-11-17

彭惠芹(1974-),女,山西大同人,硕士,山西大同大学工学院实验师,研究方向:自动化控制。

猜你喜欢
频率计计数器计数
采用虚拟计数器的电子式膜式燃气表
古人计数
递归计数的六种方式
古代的计数方法
这样“计数”不恼人
计数器竞争冒险及其处理的仿真分析
无晶振输出频率计的自动检定装置研究
基于等精度测量原理频率计的设计与实现
任意N进制计数器的设计方法
基于51单片机的数字频率计设计